Advertisement
Guest User

Untitled

a guest
Jul 17th, 2018
78
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module fib(clk, reset, countt, out_fib_number);
  2. // This module generates nth fibonacchi number, where n is the output of the count
  3.     input clk, reset;
  4.     reg[7:0] count;
  5.     output [7:0]countt;
  6.     output [7:0]out_fib_number;
  7.     reg [7:0]prev, present;
  8.     //state register
  9.     always @(posedge clk)
  10.     begin
  11.         if(reset==1)
  12.         begin
  13.         count <= 1;
  14.         end
  15.         else
  16.         begin
  17.         count <= count + 1;
  18.         end
  19.     end
  20.        
  21.     //next state logic
  22.     always @(posedge clk)
  23.     begin
  24.     case(count)
  25.     1:begin
  26.                 prev <= 1; present <= 1;
  27.         end
  28.     default: begin
  29.                 present <= present + prev;
  30.                 prev <= present;
  31.             end
  32.     endcase
  33.     end
  34.     //output logic
  35.    
  36.     assign out_fib_number = present;
  37.     assign countt = count;
  38.    
  39. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement