Advertisement
godar_

Untitled

Aug 8th, 2017
950
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. Info: *******************************************************************
  2. Info: Running Quartus Prime Analysis & Synthesis
  3.     Info: Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
  4.     Info: Processing started: Tue Aug 08 17:08:20 2017
  5. Info: Command: quartus_map --read_settings_files=on --write_settings_files=off SDRAM_VGA -c SDRAM_VGA
  6. Info (20030): Parallel compilation is enabled and will use 3 of the 3 processors detected
  7. Info (12021): Found 1 design units, including 1 entities, in source file sdram_controller.v
  8.     Info (12023): Found entity 1: SDRAM_CONTROLLER
  9. Info (12021): Found 1 design units, including 1 entities, in source file pllvga.v
  10.     Info (12023): Found entity 1: PLLVGA
  11. Info (12021): Found 1 design units, including 1 entities, in source file provapll.v
  12.     Info (12023): Found entity 1: provapll
  13. Info (12021): Found 1 design units, including 1 entities, in source file pll2.v
  14.     Info (12023): Found entity 1: pll2
  15. Warning (12125): Using design file sdram_vga.v, which is not specified as a design file for the current project, but contains definitions for 4 design units and 4 entities in project
  16.     Info (12023): Found entity 1: SDRAM_VGA
  17.     Info (12023): Found entity 2: clk_div
  18.     Info (12023): Found entity 3: FFD
  19.     Info (12023): Found entity 4: button
  20. Info (12127): Elaborating entity "SDRAM_VGA" for the top level hierarchy
  21. Warning (10036): Verilog HDL or VHDL warning at sdram_vga.v(284): object "currentCommand" assigned a value but never read
  22. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(287): truncated value with size 24 to match size of target (16)
  23. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(294): truncated value with size 32 to match size of target (6)
  24. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(301): truncated value with size 32 to match size of target (6)
  25. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(309): truncated value with size 32 to match size of target (6)
  26. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(310): truncated value with size 32 to match size of target (6)
  27. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(311): truncated value with size 32 to match size of target (6)
  28. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(312): truncated value with size 32 to match size of target (6)
  29. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(314): truncated value with size 32 to match size of target (6)
  30. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(315): truncated value with size 32 to match size of target (6)
  31. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(316): truncated value with size 32 to match size of target (6)
  32. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(317): truncated value with size 32 to match size of target (6)
  33. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(319): truncated value with size 32 to match size of target (6)
  34. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(320): truncated value with size 32 to match size of target (6)
  35. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(321): truncated value with size 32 to match size of target (6)
  36. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(322): truncated value with size 32 to match size of target (6)
  37. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(324): truncated value with size 32 to match size of target (6)
  38. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(325): truncated value with size 32 to match size of target (6)
  39. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(326): truncated value with size 32 to match size of target (6)
  40. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(327): truncated value with size 32 to match size of target (6)
  41. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(329): truncated value with size 32 to match size of target (6)
  42. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(330): truncated value with size 32 to match size of target (6)
  43. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(331): truncated value with size 32 to match size of target (6)
  44. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(332): truncated value with size 32 to match size of target (6)
  45. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(334): truncated value with size 32 to match size of target (6)
  46. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(335): truncated value with size 32 to match size of target (6)
  47. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(336): truncated value with size 32 to match size of target (6)
  48. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(337): truncated value with size 32 to match size of target (6)
  49. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(339): truncated value with size 32 to match size of target (6)
  50. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(340): truncated value with size 32 to match size of target (6)
  51. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(341): truncated value with size 32 to match size of target (6)
  52. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(342): truncated value with size 32 to match size of target (6)
  53. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(344): truncated value with size 32 to match size of target (6)
  54. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(345): truncated value with size 32 to match size of target (6)
  55. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(346): truncated value with size 32 to match size of target (6)
  56. Warning (10230): Verilog HDL assignment warning at sdram_vga.v(347): truncated value with size 32 to match size of target (6)
  57. Warning (10030): Net "arrayChar[1][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  58. Warning (10030): Net "arrayChar[1][2..3]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  59. Warning (10030): Net "arrayChar[1][7..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  60. Warning (10030): Net "arrayChar[0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  61. Warning (10030): Net "arrayChar[2][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  62. Warning (10030): Net "arrayChar[2][2..3]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  63. Warning (10030): Net "arrayChar[2][7..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  64. Warning (10030): Net "arrayChar[3][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  65. Warning (10030): Net "arrayChar[3][2..3]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  66. Warning (10030): Net "arrayChar[3][7..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  67. Warning (10030): Net "arrayChar[4][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  68. Warning (10030): Net "arrayChar[4][2..3]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  69. Warning (10030): Net "arrayChar[4][7..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  70. Warning (10030): Net "arrayChar[5][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  71. Warning (10030): Net "arrayChar[5][2..3]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  72. Warning (10030): Net "arrayChar[5][7..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  73. Warning (10030): Net "arrayChar[6][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  74. Warning (10030): Net "arrayChar[6][2..3]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  75. Warning (10030): Net "arrayChar[6][6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  76. Warning (10030): Net "arrayChar[6][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  77. Warning (10030): Net "arrayChar[7][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  78. Warning (10030): Net "arrayChar[7][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  79. Warning (10030): Net "arrayChar[7][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  80. Warning (10030): Net "arrayChar[8][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  81. Warning (10030): Net "arrayChar[8][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  82. Warning (10030): Net "arrayChar[8][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  83. Warning (10030): Net "arrayChar[9][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  84. Warning (10030): Net "arrayChar[9][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  85. Warning (10030): Net "arrayChar[9][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  86. Warning (10030): Net "arrayChar[10][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  87. Warning (10030): Net "arrayChar[10][2..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  88. Warning (10030): Net "arrayChar[11][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  89. Warning (10030): Net "arrayChar[11][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  90. Warning (10030): Net "arrayChar[11][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  91. Warning (10030): Net "arrayChar[12][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  92. Warning (10030): Net "arrayChar[12][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  93. Warning (10030): Net "arrayChar[12][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  94. Warning (10030): Net "arrayChar[13][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  95. Warning (10030): Net "arrayChar[13][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  96. Warning (10030): Net "arrayChar[13][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  97. Warning (10030): Net "arrayChar[14][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  98. Warning (10030): Net "arrayChar[14][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  99. Warning (10030): Net "arrayChar[14][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  100. Warning (10030): Net "arrayChar[15][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  101. Warning (10030): Net "arrayChar[15][2..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  102. Warning (10030): Net "arrayChar[16][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  103. Warning (10030): Net "arrayChar[16][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  104. Warning (10030): Net "arrayChar[16][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  105. Warning (10030): Net "arrayChar[17][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  106. Warning (10030): Net "arrayChar[17][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  107. Warning (10030): Net "arrayChar[17][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  108. Warning (10030): Net "arrayChar[18][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  109. Warning (10030): Net "arrayChar[18][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  110. Warning (10030): Net "arrayChar[18][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  111. Warning (10030): Net "arrayChar[19][0]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  112. Warning (10030): Net "arrayChar[19][2..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  113. Warning (10030): Net "arrayChar[19][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  114. Warning (10030): Net "arrayChar[21][0..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  115. Warning (10030): Net "arrayChar[21][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  116. Warning (10030): Net "arrayChar[20]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  117. Warning (10030): Net "arrayChar[22][0..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  118. Warning (10030): Net "arrayChar[22][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  119. Warning (10030): Net "arrayChar[23][0..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  120. Warning (10030): Net "arrayChar[23][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  121. Warning (10030): Net "arrayChar[24][0..6]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  122. Warning (10030): Net "arrayChar[24][9..59]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  123. Warning (10030): Net "arrayChar[25..105]" at sdram_vga.v(194) has no driver or initial value, using a default initial value '0'
  124. Warning (10030): Net "INData[1..7]" at sdram_vga.v(274) has no driver or initial value, using a default initial value '0'
  125. Warning (10034): Output port "HEX0" at sdram_vga.v(57) has no driver
  126. Warning (10034): Output port "HEX1" at sdram_vga.v(58) has no driver
  127. Warning (10034): Output port "HEX2" at sdram_vga.v(59) has no driver
  128. Warning (10034): Output port "HEX3" at sdram_vga.v(60) has no driver
  129. Warning (10034): Output port "HEX4" at sdram_vga.v(61) has no driver
  130. Warning (10034): Output port "HEX5" at sdram_vga.v(62) has no driver
  131. Warning (10034): Output port "LEDR[6]" at sdram_vga.v(68) has no driver
  132. Warning (10034): Output port "LEDR[4..2]" at sdram_vga.v(68) has no driver
  133. Warning (10034): Output port "GSENSOR_CS_N" at sdram_vga.v(81) has no driver
  134. Warning (10034): Output port "GSENSOR_SCLK" at sdram_vga.v(83) has no driver
  135. Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "font" into its bus
  136. Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "arrayChar" into its bus
  137. Info (12128): Elaborating entity "PLLVGA" for hierarchy "PLLVGA:pll1"
  138. Info (12128): Elaborating entity "altpll" for hierarchy "PLLVGA:pll1|altpll:altpll_component"
  139. Info (12130): Elaborated megafunction instantiation "PLLVGA:pll1|altpll:altpll_component"
  140. Info (12133): Instantiated megafunction "PLLVGA:pll1|altpll:altpll_component" with the following parameter:
  141.     Info (12134): Parameter "bandwidth_type" = "AUTO"
  142.     Info (12134): Parameter "clk0_divide_by" = "2000"
  143.     Info (12134): Parameter "clk0_duty_cycle" = "50"
  144.     Info (12134): Parameter "clk0_multiply_by" = "1007"
  145.     Info (12134): Parameter "clk0_phase_shift" = "0"
  146.     Info (12134): Parameter "clk1_divide_by" = "2000"
  147.     Info (12134): Parameter "clk1_duty_cycle" = "50"
  148.     Info (12134): Parameter "clk1_multiply_by" = "1007"
  149.     Info (12134): Parameter "clk1_phase_shift" = "9930"
  150.     Info (12134): Parameter "compensate_clock" = "CLK0"
  151.     Info (12134): Parameter "inclk0_input_frequency" = "20000"
  152.     Info (12134): Parameter "intended_device_family" = "MAX 10"
  153.     Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=PLLVGA"
  154.     Info (12134): Parameter "lpm_type" = "altpll"
  155.     Info (12134): Parameter "operation_mode" = "NORMAL"
  156.     Info (12134): Parameter "pll_type" = "AUTO"
  157.     Info (12134): Parameter "port_activeclock" = "PORT_UNUSED"
  158.     Info (12134): Parameter "port_areset" = "PORT_UNUSED"
  159.     Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED"
  160.     Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED"
  161.     Info (12134): Parameter "port_clkloss" = "PORT_UNUSED"
  162.     Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED"
  163.     Info (12134): Parameter "port_configupdate" = "PORT_UNUSED"
  164.     Info (12134): Parameter "port_fbin" = "PORT_UNUSED"
  165.     Info (12134): Parameter "port_inclk0" = "PORT_USED"
  166.     Info (12134): Parameter "port_inclk1" = "PORT_UNUSED"
  167.     Info (12134): Parameter "port_locked" = "PORT_UNUSED"
  168.     Info (12134): Parameter "port_pfdena" = "PORT_UNUSED"
  169.     Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED"
  170.     Info (12134): Parameter "port_phasedone" = "PORT_UNUSED"
  171.     Info (12134): Parameter "port_phasestep" = "PORT_UNUSED"
  172.     Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED"
  173.     Info (12134): Parameter "port_pllena" = "PORT_UNUSED"
  174.     Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED"
  175.     Info (12134): Parameter "port_scanclk" = "PORT_UNUSED"
  176.     Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED"
  177.     Info (12134): Parameter "port_scandata" = "PORT_UNUSED"
  178.     Info (12134): Parameter "port_scandataout" = "PORT_UNUSED"
  179.     Info (12134): Parameter "port_scandone" = "PORT_UNUSED"
  180.     Info (12134): Parameter "port_scanread" = "PORT_UNUSED"
  181.     Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED"
  182.     Info (12134): Parameter "port_clk0" = "PORT_USED"
  183.     Info (12134): Parameter "port_clk1" = "PORT_USED"
  184.     Info (12134): Parameter "port_clk2" = "PORT_UNUSED"
  185.     Info (12134): Parameter "port_clk3" = "PORT_UNUSED"
  186.     Info (12134): Parameter "port_clk4" = "PORT_UNUSED"
  187.     Info (12134): Parameter "port_clk5" = "PORT_UNUSED"
  188.     Info (12134): Parameter "port_clkena0" = "PORT_UNUSED"
  189.     Info (12134): Parameter "port_clkena1" = "PORT_UNUSED"
  190.     Info (12134): Parameter "port_clkena2" = "PORT_UNUSED"
  191.     Info (12134): Parameter "port_clkena3" = "PORT_UNUSED"
  192.     Info (12134): Parameter "port_clkena4" = "PORT_UNUSED"
  193.     Info (12134): Parameter "port_clkena5" = "PORT_UNUSED"
  194.     Info (12134): Parameter "port_extclk0" = "PORT_UNUSED"
  195.     Info (12134): Parameter "port_extclk1" = "PORT_UNUSED"
  196.     Info (12134): Parameter "port_extclk2" = "PORT_UNUSED"
  197.     Info (12134): Parameter "port_extclk3" = "PORT_UNUSED"
  198.     Info (12134): Parameter "width_clock" = "5"
  199. Info (12021): Found 1 design units, including 1 entities, in source file db/pllvga_altpll.v
  200.     Info (12023): Found entity 1: PLLVGA_altpll
  201. Info (12128): Elaborating entity "PLLVGA_altpll" for hierarchy "PLLVGA:pll1|altpll:altpll_component|PLLVGA_altpll:auto_generated"
  202. Info (12128): Elaborating entity "pll2" for hierarchy "pll2:test"
  203. Info (12128): Elaborating entity "altpll" for hierarchy "pll2:test|altpll:altpll_component"
  204. Info (12130): Elaborated megafunction instantiation "pll2:test|altpll:altpll_component"
  205. Info (12133): Instantiated megafunction "pll2:test|altpll:altpll_component" with the following parameter:
  206.     Info (12134): Parameter "bandwidth_type" = "AUTO"
  207.     Info (12134): Parameter "clk0_divide_by" = "1"
  208.     Info (12134): Parameter "clk0_duty_cycle" = "50"
  209.     Info (12134): Parameter "clk0_multiply_by" = "2"
  210.     Info (12134): Parameter "clk0_phase_shift" = "0"
  211.     Info (12134): Parameter "clk1_divide_by" = "1"
  212.     Info (12134): Parameter "clk1_duty_cycle" = "50"
  213.     Info (12134): Parameter "clk1_multiply_by" = "2"
  214.     Info (12134): Parameter "clk1_phase_shift" = "3000"
  215.     Info (12134): Parameter "compensate_clock" = "CLK0"
  216.     Info (12134): Parameter "inclk0_input_frequency" = "20000"
  217.     Info (12134): Parameter "intended_device_family" = "MAX 10"
  218.     Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=pll2"
  219.     Info (12134): Parameter "lpm_type" = "altpll"
  220.     Info (12134): Parameter "operation_mode" = "NORMAL"
  221.     Info (12134): Parameter "pll_type" = "AUTO"
  222.     Info (12134): Parameter "port_activeclock" = "PORT_UNUSED"
  223.     Info (12134): Parameter "port_areset" = "PORT_UNUSED"
  224.     Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED"
  225.     Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED"
  226.     Info (12134): Parameter "port_clkloss" = "PORT_UNUSED"
  227.     Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED"
  228.     Info (12134): Parameter "port_configupdate" = "PORT_UNUSED"
  229.     Info (12134): Parameter "port_fbin" = "PORT_UNUSED"
  230.     Info (12134): Parameter "port_inclk0" = "PORT_USED"
  231.     Info (12134): Parameter "port_inclk1" = "PORT_UNUSED"
  232.     Info (12134): Parameter "port_locked" = "PORT_UNUSED"
  233.     Info (12134): Parameter "port_pfdena" = "PORT_UNUSED"
  234.     Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED"
  235.     Info (12134): Parameter "port_phasedone" = "PORT_UNUSED"
  236.     Info (12134): Parameter "port_phasestep" = "PORT_UNUSED"
  237.     Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED"
  238.     Info (12134): Parameter "port_pllena" = "PORT_UNUSED"
  239.     Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED"
  240.     Info (12134): Parameter "port_scanclk" = "PORT_UNUSED"
  241.     Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED"
  242.     Info (12134): Parameter "port_scandata" = "PORT_UNUSED"
  243.     Info (12134): Parameter "port_scandataout" = "PORT_UNUSED"
  244.     Info (12134): Parameter "port_scandone" = "PORT_UNUSED"
  245.     Info (12134): Parameter "port_scanread" = "PORT_UNUSED"
  246.     Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED"
  247.     Info (12134): Parameter "port_clk0" = "PORT_USED"
  248.     Info (12134): Parameter "port_clk1" = "PORT_USED"
  249.     Info (12134): Parameter "port_clk2" = "PORT_UNUSED"
  250.     Info (12134): Parameter "port_clk3" = "PORT_UNUSED"
  251.     Info (12134): Parameter "port_clk4" = "PORT_UNUSED"
  252.     Info (12134): Parameter "port_clk5" = "PORT_UNUSED"
  253.     Info (12134): Parameter "port_clkena0" = "PORT_UNUSED"
  254.     Info (12134): Parameter "port_clkena1" = "PORT_UNUSED"
  255.     Info (12134): Parameter "port_clkena2" = "PORT_UNUSED"
  256.     Info (12134): Parameter "port_clkena3" = "PORT_UNUSED"
  257.     Info (12134): Parameter "port_clkena4" = "PORT_UNUSED"
  258.     Info (12134): Parameter "port_clkena5" = "PORT_UNUSED"
  259.     Info (12134): Parameter "port_extclk0" = "PORT_UNUSED"
  260.     Info (12134): Parameter "port_extclk1" = "PORT_UNUSED"
  261.     Info (12134): Parameter "port_extclk2" = "PORT_UNUSED"
  262.     Info (12134): Parameter "port_extclk3" = "PORT_UNUSED"
  263.     Info (12134): Parameter "width_clock" = "5"
  264. Info (12021): Found 1 design units, including 1 entities, in source file db/pll2_altpll.v
  265.     Info (12023): Found entity 1: pll2_altpll
  266. Info (12128): Elaborating entity "pll2_altpll" for hierarchy "pll2:test|altpll:altpll_component|pll2_altpll:auto_generated"
  267. Info (12128): Elaborating entity "button" for hierarchy "button:breset_n"
  268. Info (12128): Elaborating entity "FFD" for hierarchy "button:breset_n|FFD:m"
  269. Info (12128): Elaborating entity "SDRAM_CONTROLLER" for hierarchy "SDRAM_CONTROLLER:miaRam"
  270. Warning (10036): Verilog HDL or VHDL warning at SDRAM_CONTROLLER.v(147): object "initStarted" assigned a value but never read
  271. Warning (10036): Verilog HDL or VHDL warning at SDRAM_CONTROLLER.v(149): object "currentState" assigned a value but never read
  272. Warning (10036): Verilog HDL or VHDL warning at SDRAM_CONTROLLER.v(150): object "currentWait" assigned a value but never read
  273. Warning (10036): Verilog HDL or VHDL warning at SDRAM_CONTROLLER.v(191): object "emptyState" assigned a value but never read
  274. Warning (10036): Verilog HDL or VHDL warning at SDRAM_CONTROLLER.v(192): object "fullState" assigned a value but never read
  275. Warning (10036): Verilog HDL or VHDL warning at SDRAM_CONTROLLER.v(200): object "fullRead" assigned a value but never read
  276. Warning (10036): Verilog HDL or VHDL warning at SDRAM_CONTROLLER.v(209): object "emptyWrite" assigned a value but never read
  277. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(245): truncated value with size 32 to match size of target (3)
  278. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(281): truncated value with size 32 to match size of target (3)
  279. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(307): truncated value with size 32 to match size of target (16)
  280. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(309): truncated value with size 32 to match size of target (5)
  281. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(311): truncated value with size 32 to match size of target (16)
  282. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(312): truncated value with size 32 to match size of target (16)
  283. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(313): truncated value with size 32 to match size of target (5)
  284. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(315): truncated value with size 32 to match size of target (16)
  285. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(317): truncated value with size 32 to match size of target (5)
  286. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(319): truncated value with size 32 to match size of target (16)
  287. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(321): truncated value with size 32 to match size of target (5)
  288. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(323): truncated value with size 32 to match size of target (16)
  289. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(325): truncated value with size 32 to match size of target (5)
  290. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(327): truncated value with size 32 to match size of target (16)
  291. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(329): truncated value with size 32 to match size of target (5)
  292. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(331): truncated value with size 32 to match size of target (16)
  293. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(333): truncated value with size 32 to match size of target (5)
  294. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(335): truncated value with size 32 to match size of target (16)
  295. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(337): truncated value with size 32 to match size of target (5)
  296. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(339): truncated value with size 32 to match size of target (16)
  297. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(341): truncated value with size 32 to match size of target (5)
  298. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(343): truncated value with size 32 to match size of target (16)
  299. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(345): truncated value with size 32 to match size of target (5)
  300. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(347): truncated value with size 32 to match size of target (16)
  301. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(348): truncated value with size 32 to match size of target (16)
  302. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(349): truncated value with size 32 to match size of target (5)
  303. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(361): truncated value with size 32 to match size of target (16)
  304. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(363): truncated value with size 32 to match size of target (5)
  305. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(365): truncated value with size 32 to match size of target (16)
  306. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(366): truncated value with size 32 to match size of target (16)
  307. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(367): truncated value with size 32 to match size of target (5)
  308. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(369): truncated value with size 32 to match size of target (16)
  309. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(371): truncated value with size 32 to match size of target (5)
  310. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(373): truncated value with size 32 to match size of target (16)
  311. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(374): truncated value with size 32 to match size of target (16)
  312. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(375): truncated value with size 32 to match size of target (5)
  313. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(377): truncated value with size 32 to match size of target (16)
  314. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(379): truncated value with size 32 to match size of target (5)
  315. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(381): truncated value with size 32 to match size of target (16)
  316. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(383): truncated value with size 32 to match size of target (5)
  317. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(385): truncated value with size 32 to match size of target (16)
  318. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(387): truncated value with size 32 to match size of target (5)
  319. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(389): truncated value with size 32 to match size of target (16)
  320. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(391): truncated value with size 32 to match size of target (5)
  321. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(393): truncated value with size 32 to match size of target (16)
  322. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(395): truncated value with size 32 to match size of target (5)
  323. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(397): truncated value with size 32 to match size of target (16)
  324. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(399): truncated value with size 32 to match size of target (5)
  325. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(401): truncated value with size 32 to match size of target (16)
  326. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(403): truncated value with size 32 to match size of target (5)
  327. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(405): truncated value with size 32 to match size of target (16)
  328. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(407): truncated value with size 32 to match size of target (5)
  329. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(415): truncated value with size 32 to match size of target (16)
  330. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(418): truncated value with size 32 to match size of target (16)
  331. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(419): truncated value with size 32 to match size of target (16)
  332. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(421): truncated value with size 32 to match size of target (16)
  333. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(424): truncated value with size 32 to match size of target (16)
  334. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(425): truncated value with size 32 to match size of target (16)
  335. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(426): truncated value with size 32 to match size of target (5)
  336. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(431): truncated value with size 32 to match size of target (16)
  337. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(433): truncated value with size 32 to match size of target (5)
  338. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(439): truncated value with size 32 to match size of target (16)
  339. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(444): truncated value with size 16 to match size of target (8)
  340. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(459): truncated value with size 32 to match size of target (16)
  341. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(460): truncated value with size 32 to match size of target (16)
  342. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(461): truncated value with size 32 to match size of target (5)
  343. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(467): truncated value with size 32 to match size of target (16)
  344. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(469): truncated value with size 32 to match size of target (5)
  345. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(471): truncated value with size 32 to match size of target (16)
  346. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(472): truncated value with size 32 to match size of target (16)
  347. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(473): truncated value with size 32 to match size of target (5)
  348. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(477): truncated value with size 32 to match size of target (16)
  349. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(479): truncated value with size 32 to match size of target (5)
  350. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(481): truncated value with size 32 to match size of target (16)
  351. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(482): truncated value with size 32 to match size of target (16)
  352. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(483): truncated value with size 32 to match size of target (5)
  353. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(516): truncated value with size 32 to match size of target (3)
  354. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(536): truncated value with size 32 to match size of target (3)
  355. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(559): truncated value with size 32 to match size of target (3)
  356. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(581): truncated value with size 32 to match size of target (3)
  357. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(589): truncated value with size 32 to match size of target (3)
  358. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(593): truncated value with size 32 to match size of target (5)
  359. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(609): truncated value with size 32 to match size of target (3)
  360. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(627): truncated value with size 32 to match size of target (16)
  361. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(634): truncated value with size 32 to match size of target (1)
  362. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(636): truncated value with size 32 to match size of target (3)
  363. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(637): truncated value with size 32 to match size of target (2)
  364. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(638): truncated value with size 32 to match size of target (1)
  365. Warning (10230): Verilog HDL assignment warning at SDRAM_CONTROLLER.v(652): truncated value with size 32 to match size of target (5)
  366. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_1q14.tdf
  367.     Info (12023): Found entity 1: altsyncram_1q14
  368. Info (12021): Found 1 design units, including 1 entities, in source file db/decode_h7a.tdf
  369.     Info (12023): Found entity 1: decode_h7a
  370. Info (12021): Found 1 design units, including 1 entities, in source file db/mux_o3b.tdf
  371.     Info (12023): Found entity 1: mux_o3b
  372. Info (12021): Found 1 design units, including 1 entities, in source file db/mux_i7c.tdf
  373.     Info (12023): Found entity 1: mux_i7c
  374. Info (12021): Found 1 design units, including 1 entities, in source file db/decode_3af.tdf
  375.     Info (12023): Found entity 1: decode_3af
  376. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_hrh.tdf
  377.     Info (12023): Found entity 1: cntr_hrh
  378. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_hrb.tdf
  379.     Info (12023): Found entity 1: cmpr_hrb
  380. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_6mi.tdf
  381.     Info (12023): Found entity 1: cntr_6mi
  382. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_7rh.tdf
  383.     Info (12023): Found entity 1: cntr_7rh
  384. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_irb.tdf
  385.     Info (12023): Found entity 1: cmpr_irb
  386. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_odi.tdf
  387.     Info (12023): Found entity 1: cntr_odi
  388. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_drb.tdf
  389.     Info (12023): Found entity 1: cmpr_drb
  390. Info (12033): Analysis and Synthesis generated SignalTap II or debug node instance "auto_signaltap_0"
  391. Info (11170): Starting IP generation for the debug fabric: alt_sld_fab.
  392. Info (11172): 2017.08.08.17:08:50 Progress: Loading sld39f46d90/alt_sld_fab_wrapper_hw.tcl
  393. Info (11172): Alt_sld_fab.alt_sld_fab: SLD fabric agents which did not specify prefer_host were connected to JTAG
  394. Info (11172): Alt_sld_fab: Generating alt_sld_fab "alt_sld_fab" for QUARTUS_SYNTH
  395. Info (11172): Alt_sld_fab: "alt_sld_fab" instantiated alt_sld_fab "alt_sld_fab"
  396. Info (11172): Presplit: "alt_sld_fab" instantiated altera_super_splitter "presplit"
  397. Info (11172): Splitter: "alt_sld_fab" instantiated altera_sld_splitter "splitter"
  398. Info (11172): Sldfabric: "alt_sld_fab" instantiated altera_sld_jtag_hub "sldfabric"
  399. Info (11172): Ident: "alt_sld_fab" instantiated altera_connection_identification_hub "ident"
  400. Info (11172): Alt_sld_fab: Done "alt_sld_fab" with 6 modules, 6 files
  401. Info (11171): Finished IP generation for the debug fabric: alt_sld_fab.
  402. Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld39f46d90/alt_sld_fab.v
  403.     Info (12023): Found entity 1: alt_sld_fab
  404. Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld39f46d90/submodules/alt_sld_fab_alt_sld_fab.v
  405.     Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab
  406. Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld39f46d90/submodules/alt_sld_fab_alt_sld_fab_ident.sv
  407.     Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_ident
  408. Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld39f46d90/submodules/alt_sld_fab_alt_sld_fab_presplit.sv
  409.     Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_presplit
  410. Info (12021): Found 2 design units, including 1 entities, in source file db/ip/sld39f46d90/submodules/alt_sld_fab_alt_sld_fab_sldfabric.vhd
  411.     Info (12022): Found design unit 1: alt_sld_fab_alt_sld_fab_sldfabric-rtl
  412.     Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_sldfabric
  413. Info (12021): Found 1 design units, including 1 entities, in source file db/ip/sld39f46d90/submodules/alt_sld_fab_alt_sld_fab_splitter.sv
  414.     Info (12023): Found entity 1: alt_sld_fab_alt_sld_fab_splitter
  415. Info (278001): Inferred 7 megafunctions from design logic
  416.     Info (278004): Inferred divider/modulo megafunction ("lpm_divide") from the following logic: "SDRAM_CONTROLLER:miaRam|Div0"
  417.     Info (278004): Inferred divider/modulo megafunction ("lpm_divide") from the following logic: "Div0"
  418.     Info (278004): Inferred divider/modulo megafunction ("lpm_divide") from the following logic: "Mod0"
  419.     Info (278004): Inferred divider/modulo megafunction ("lpm_divide") from the following logic: "Div1"
  420.     Info (278004): Inferred divider/modulo megafunction ("lpm_divide") from the following logic: "Div2"
  421.     Info (278004): Inferred divider/modulo megafunction ("lpm_divide") from the following logic: "Div3"
  422.     Info (278004): Inferred divider/modulo megafunction ("lpm_divide") from the following logic: "Div4"
  423. Info (12130): Elaborated megafunction instantiation "SDRAM_CONTROLLER:miaRam|lpm_divide:Div0"
  424. Info (12133): Instantiated megafunction "SDRAM_CONTROLLER:miaRam|lpm_divide:Div0" with the following parameter:
  425.     Info (12134): Parameter "LPM_WIDTHN" = "32"
  426.     Info (12134): Parameter "LPM_WIDTHD" = "17"
  427.     Info (12134): Parameter "LPM_NREPRESENTATION" = "UNSIGNED"
  428.     Info (12134): Parameter "LPM_DREPRESENTATION" = "UNSIGNED"
  429. Info (12021): Found 1 design units, including 1 entities, in source file db/lpm_divide_avl.tdf
  430.     Info (12023): Found entity 1: lpm_divide_avl
  431. Info (12021): Found 1 design units, including 1 entities, in source file db/sign_div_unsign_cnh.tdf
  432.     Info (12023): Found entity 1: sign_div_unsign_cnh
  433. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_u_div_2le.tdf
  434.     Info (12023): Found entity 1: alt_u_div_2le
  435. Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_t3c.tdf
  436.     Info (12023): Found entity 1: add_sub_t3c
  437. Info (12021): Found 1 design units, including 1 entities, in source file db/add_sub_u3c.tdf
  438.     Info (12023): Found entity 1: add_sub_u3c
  439. Info (12130): Elaborated megafunction instantiation "lpm_divide:Div0"
  440. Info (12133): Instantiated megafunction "lpm_divide:Div0" with the following parameter:
  441.     Info (12134): Parameter "LPM_WIDTHN" = "32"
  442.     Info (12134): Parameter "LPM_WIDTHD" = "11"
  443.     Info (12134): Parameter "LPM_NREPRESENTATION" = "SIGNED"
  444.     Info (12134): Parameter "LPM_DREPRESENTATION" = "SIGNED"
  445.     Info (12134): Parameter "LPM_HINT" = "LPM_REMAINDERPOSITIVE=FALSE"
  446. Info (12021): Found 1 design units, including 1 entities, in source file db/lpm_divide_sco.tdf
  447.     Info (12023): Found entity 1: lpm_divide_sco
  448. Info (12021): Found 1 design units, including 1 entities, in source file db/abs_divider_1dg.tdf
  449.     Info (12023): Found entity 1: abs_divider_1dg
  450. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_u_div_mke.tdf
  451.     Info (12023): Found entity 1: alt_u_div_mke
  452. Info (12021): Found 1 design units, including 1 entities, in source file db/lpm_abs_5b9.tdf
  453.     Info (12023): Found entity 1: lpm_abs_5b9
  454. Info (12021): Found 1 design units, including 1 entities, in source file db/lpm_abs_8b9.tdf
  455.     Info (12023): Found entity 1: lpm_abs_8b9
  456. Info (12130): Elaborated megafunction instantiation "lpm_divide:Mod0"
  457. Info (12133): Instantiated megafunction "lpm_divide:Mod0" with the following parameter:
  458.     Info (12134): Parameter "LPM_WIDTHN" = "32"
  459.     Info (12134): Parameter "LPM_WIDTHD" = "11"
  460.     Info (12134): Parameter "LPM_NREPRESENTATION" = "SIGNED"
  461.     Info (12134): Parameter "LPM_DREPRESENTATION" = "SIGNED"
  462.     Info (12134): Parameter "LPM_HINT" = "LPM_REMAINDERPOSITIVE=FALSE"
  463. Info (12021): Found 1 design units, including 1 entities, in source file db/lpm_divide_v4o.tdf
  464.     Info (12023): Found entity 1: lpm_divide_v4o
  465. Info (12130): Elaborated megafunction instantiation "lpm_divide:Div1"
  466. Info (12133): Instantiated megafunction "lpm_divide:Div1" with the following parameter:
  467.     Info (12134): Parameter "LPM_WIDTHN" = "11"
  468.     Info (12134): Parameter "LPM_WIDTHD" = "32"
  469.     Info (12134): Parameter "LPM_NREPRESENTATION" = "SIGNED"
  470.     Info (12134): Parameter "LPM_DREPRESENTATION" = "SIGNED"
  471.     Info (12134): Parameter "LPM_HINT" = "LPM_REMAINDERPOSITIVE=FALSE"
  472. Info (12021): Found 1 design units, including 1 entities, in source file db/lpm_divide_tco.tdf
  473.     Info (12023): Found entity 1: lpm_divide_tco
  474. Info (12021): Found 1 design units, including 1 entities, in source file db/abs_divider_4dg.tdf
  475.     Info (12023): Found entity 1: abs_divider_4dg
  476. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_u_div_ske.tdf
  477.     Info (12023): Found entity 1: alt_u_div_ske
  478. Info (12130): Elaborated megafunction instantiation "lpm_divide:Div2"
  479. Info (12133): Instantiated megafunction "lpm_divide:Div2" with the following parameter:
  480.     Info (12134): Parameter "LPM_WIDTHN" = "32"
  481.     Info (12134): Parameter "LPM_WIDTHD" = "32"
  482.     Info (12134): Parameter "LPM_NREPRESENTATION" = "SIGNED"
  483.     Info (12134): Parameter "LPM_DREPRESENTATION" = "SIGNED"
  484.     Info (12134): Parameter "LPM_HINT" = "LPM_REMAINDERPOSITIVE=FALSE"
  485. Info (12021): Found 1 design units, including 1 entities, in source file db/lpm_divide_vco.tdf
  486.     Info (12023): Found entity 1: lpm_divide_vco
  487. Info (12130): Elaborated megafunction instantiation "lpm_divide:Div3"
  488. Info (12133): Instantiated megafunction "lpm_divide:Div3" with the following parameter:
  489.     Info (12134): Parameter "LPM_WIDTHN" = "11"
  490.     Info (12134): Parameter "LPM_WIDTHD" = "32"
  491.     Info (12134): Parameter "LPM_NREPRESENTATION" = "SIGNED"
  492.     Info (12134): Parameter "LPM_DREPRESENTATION" = "SIGNED"
  493.     Info (12134): Parameter "LPM_HINT" = "LPM_REMAINDERPOSITIVE=FALSE"
  494. Info (12130): Elaborated megafunction instantiation "lpm_divide:Div4"
  495. Info (12133): Instantiated megafunction "lpm_divide:Div4" with the following parameter:
  496.     Info (12134): Parameter "LPM_WIDTHN" = "32"
  497.     Info (12134): Parameter "LPM_WIDTHD" = "32"
  498.     Info (12134): Parameter "LPM_NREPRESENTATION" = "SIGNED"
  499.     Info (12134): Parameter "LPM_DREPRESENTATION" = "SIGNED"
  500.     Info (12134): Parameter "LPM_HINT" = "LPM_REMAINDERPOSITIVE=FALSE"
  501. Warning (12241): 3 hierarchies have connectivity warnings - see the Connectivity Checks report folder
  502. Info (13014): Ignored 132 buffer(s)
  503.     Info (13016): Ignored 132 CARRY_SUM buffer(s)
  504. Warning (13039): The following bidirectional pins have no drivers
  505.     Warning (13040): bidirectional pin "GSENSOR_SDI" has no driver
  506.     Warning (13040): bidirectional pin "GSENSOR_SDO" has no driver
  507.     Warning (13040): bidirectional pin "ARDUINO_IO[0]" has no driver
  508.     Warning (13040): bidirectional pin "ARDUINO_IO[1]" has no driver
  509.     Warning (13040): bidirectional pin "ARDUINO_IO[2]" has no driver
  510.     Warning (13040): bidirectional pin "ARDUINO_IO[3]" has no driver
  511.     Warning (13040): bidirectional pin "ARDUINO_IO[4]" has no driver
  512.     Warning (13040): bidirectional pin "ARDUINO_IO[5]" has no driver
  513.     Warning (13040): bidirectional pin "ARDUINO_IO[6]" has no driver
  514.     Warning (13040): bidirectional pin "ARDUINO_IO[7]" has no driver
  515.     Warning (13040): bidirectional pin "ARDUINO_IO[8]" has no driver
  516.     Warning (13040): bidirectional pin "ARDUINO_IO[9]" has no driver
  517.     Warning (13040): bidirectional pin "ARDUINO_IO[10]" has no driver
  518.     Warning (13040): bidirectional pin "ARDUINO_IO[11]" has no driver
  519.     Warning (13040): bidirectional pin "ARDUINO_IO[12]" has no driver
  520.     Warning (13040): bidirectional pin "ARDUINO_IO[13]" has no driver
  521.     Warning (13040): bidirectional pin "ARDUINO_IO[14]" has no driver
  522.     Warning (13040): bidirectional pin "ARDUINO_IO[15]" has no driver
  523.     Warning (13040): bidirectional pin "ARDUINO_RESET_N" has no driver
  524.     Warning (13040): bidirectional pin "GPIO[0]" has no driver
  525.     Warning (13040): bidirectional pin "GPIO[1]" has no driver
  526.     Warning (13040): bidirectional pin "GPIO[2]" has no driver
  527.     Warning (13040): bidirectional pin "GPIO[3]" has no driver
  528.     Warning (13040): bidirectional pin "GPIO[4]" has no driver
  529.     Warning (13040): bidirectional pin "GPIO[5]" has no driver
  530.     Warning (13040): bidirectional pin "GPIO[6]" has no driver
  531.     Warning (13040): bidirectional pin "GPIO[7]" has no driver
  532.     Warning (13040): bidirectional pin "GPIO[8]" has no driver
  533.     Warning (13040): bidirectional pin "GPIO[9]" has no driver
  534.     Warning (13040): bidirectional pin "GPIO[10]" has no driver
  535.     Warning (13040): bidirectional pin "GPIO[11]" has no driver
  536.     Warning (13040): bidirectional pin "GPIO[12]" has no driver
  537.     Warning (13040): bidirectional pin "GPIO[13]" has no driver
  538.     Warning (13040): bidirectional pin "GPIO[14]" has no driver
  539.     Warning (13040): bidirectional pin "GPIO[15]" has no driver
  540.     Warning (13040): bidirectional pin "GPIO[16]" has no driver
  541.     Warning (13040): bidirectional pin "GPIO[17]" has no driver
  542.     Warning (13040): bidirectional pin "GPIO[18]" has no driver
  543.     Warning (13040): bidirectional pin "GPIO[19]" has no driver
  544.     Warning (13040): bidirectional pin "GPIO[20]" has no driver
  545.     Warning (13040): bidirectional pin "GPIO[21]" has no driver
  546.     Warning (13040): bidirectional pin "GPIO[22]" has no driver
  547.     Warning (13040): bidirectional pin "GPIO[23]" has no driver
  548.     Warning (13040): bidirectional pin "GPIO[24]" has no driver
  549.     Warning (13040): bidirectional pin "GPIO[25]" has no driver
  550.     Warning (13040): bidirectional pin "GPIO[26]" has no driver
  551.     Warning (13040): bidirectional pin "GPIO[27]" has no driver
  552.     Warning (13040): bidirectional pin "GPIO[28]" has no driver
  553.     Warning (13040): bidirectional pin "GPIO[29]" has no driver
  554.     Warning (13040): bidirectional pin "GPIO[30]" has no driver
  555.     Warning (13040): bidirectional pin "GPIO[31]" has no driver
  556.     Warning (13040): bidirectional pin "GPIO[32]" has no driver
  557.     Warning (13040): bidirectional pin "GPIO[33]" has no driver
  558.     Warning (13040): bidirectional pin "GPIO[34]" has no driver
  559.     Warning (13040): bidirectional pin "GPIO[35]" has no driver
  560. Warning (13046): Tri-state node(s) do not directly drive top-level pin(s)
  561.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[3]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][3]" into an OR gate
  562.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[2]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][2]" into an OR gate
  563.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[1]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][1]" into an OR gate
  564.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[0]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][0]" into an OR gate
  565.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[11]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][11]" into an OR gate
  566.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[10]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][10]" into an OR gate
  567.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[9]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][9]" into an OR gate
  568.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[8]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][8]" into an OR gate
  569.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[15]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][15]" into an OR gate
  570.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[14]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][14]" into an OR gate
  571.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[13]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][13]" into an OR gate
  572.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[12]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][12]" into an OR gate
  573.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[7]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][7]" into an OR gate
  574.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[6]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][6]" into an OR gate
  575.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[5]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][5]" into an OR gate
  576.     Warning (13047): Converted the fan-out from the tri-state buffer "SDRAM_CONTROLLER:miaRam|DRAM_DQ_reg[4]" to the node "SDRAM_CONTROLLER:miaRam|readQueue[0][4]" into an OR gate
  577. Warning (13024): Output pins are stuck at VCC or GND
  578.     Warning (13410): Pin "DRAM_ADDR[6]" is stuck at GND
  579.     Warning (13410): Pin "DRAM_ADDR[7]" is stuck at GND
  580.     Warning (13410): Pin "DRAM_ADDR[8]" is stuck at GND
  581.     Warning (13410): Pin "DRAM_ADDR[9]" is stuck at GND
  582.     Warning (13410): Pin "DRAM_ADDR[11]" is stuck at GND
  583.     Warning (13410): Pin "DRAM_ADDR[12]" is stuck at GND
  584.     Warning (13410): Pin "DRAM_BA[0]" is stuck at GND
  585.     Warning (13410): Pin "DRAM_BA[1]" is stuck at GND
  586.     Warning (13410): Pin "DRAM_CKE" is stuck at VCC
  587.     Warning (13410): Pin "DRAM_CS_N" is stuck at GND
  588.     Warning (13410): Pin "HEX0[0]" is stuck at GND
  589.     Warning (13410): Pin "HEX0[1]" is stuck at GND
  590.     Warning (13410): Pin "HEX0[2]" is stuck at GND
  591.     Warning (13410): Pin "HEX0[3]" is stuck at GND
  592.     Warning (13410): Pin "HEX0[4]" is stuck at GND
  593.     Warning (13410): Pin "HEX0[5]" is stuck at GND
  594.     Warning (13410): Pin "HEX0[6]" is stuck at GND
  595.     Warning (13410): Pin "HEX0[7]" is stuck at GND
  596.     Warning (13410): Pin "HEX1[0]" is stuck at GND
  597.     Warning (13410): Pin "HEX1[1]" is stuck at GND
  598.     Warning (13410): Pin "HEX1[2]" is stuck at GND
  599.     Warning (13410): Pin "HEX1[3]" is stuck at GND
  600.     Warning (13410): Pin "HEX1[4]" is stuck at GND
  601.     Warning (13410): Pin "HEX1[5]" is stuck at GND
  602.     Warning (13410): Pin "HEX1[6]" is stuck at GND
  603.     Warning (13410): Pin "HEX1[7]" is stuck at GND
  604.     Warning (13410): Pin "HEX2[0]" is stuck at GND
  605.     Warning (13410): Pin "HEX2[1]" is stuck at GND
  606.     Warning (13410): Pin "HEX2[2]" is stuck at GND
  607.     Warning (13410): Pin "HEX2[3]" is stuck at GND
  608.     Warning (13410): Pin "HEX2[4]" is stuck at GND
  609.     Warning (13410): Pin "HEX2[5]" is stuck at GND
  610.     Warning (13410): Pin "HEX2[6]" is stuck at GND
  611.     Warning (13410): Pin "HEX2[7]" is stuck at GND
  612.     Warning (13410): Pin "HEX3[0]" is stuck at GND
  613.     Warning (13410): Pin "HEX3[1]" is stuck at GND
  614.     Warning (13410): Pin "HEX3[2]" is stuck at GND
  615.     Warning (13410): Pin "HEX3[3]" is stuck at GND
  616.     Warning (13410): Pin "HEX3[4]" is stuck at GND
  617.     Warning (13410): Pin "HEX3[5]" is stuck at GND
  618.     Warning (13410): Pin "HEX3[6]" is stuck at GND
  619.     Warning (13410): Pin "HEX3[7]" is stuck at GND
  620.     Warning (13410): Pin "HEX4[0]" is stuck at GND
  621.     Warning (13410): Pin "HEX4[1]" is stuck at GND
  622.     Warning (13410): Pin "HEX4[2]" is stuck at GND
  623.     Warning (13410): Pin "HEX4[3]" is stuck at GND
  624.     Warning (13410): Pin "HEX4[4]" is stuck at GND
  625.     Warning (13410): Pin "HEX4[5]" is stuck at GND
  626.     Warning (13410): Pin "HEX4[6]" is stuck at GND
  627.     Warning (13410): Pin "HEX4[7]" is stuck at GND
  628.     Warning (13410): Pin "HEX5[0]" is stuck at GND
  629.     Warning (13410): Pin "HEX5[1]" is stuck at GND
  630.     Warning (13410): Pin "HEX5[2]" is stuck at GND
  631.     Warning (13410): Pin "HEX5[3]" is stuck at GND
  632.     Warning (13410): Pin "HEX5[4]" is stuck at GND
  633.     Warning (13410): Pin "HEX5[5]" is stuck at GND
  634.     Warning (13410): Pin "HEX5[6]" is stuck at GND
  635.     Warning (13410): Pin "HEX5[7]" is stuck at GND
  636.     Warning (13410): Pin "LEDR[2]" is stuck at GND
  637.     Warning (13410): Pin "LEDR[3]" is stuck at GND
  638.     Warning (13410): Pin "LEDR[4]" is stuck at GND
  639.     Warning (13410): Pin "LEDR[5]" is stuck at VCC
  640.     Warning (13410): Pin "LEDR[6]" is stuck at GND
  641.     Warning (13410): Pin "GSENSOR_CS_N" is stuck at GND
  642.     Warning (13410): Pin "GSENSOR_SCLK" is stuck at GND
  643. Info (286031): Timing-Driven Synthesis is running on partition "Top"
  644. Info (17016): Found the following redundant logic cells in design
  645.     Info (17048): Logic cell "lpm_divide:Div2|lpm_divide_vco:auto_generated|abs_divider_4dg:divider|alt_u_div_ske:divider|add_sub_11_result_int[3]~18"
  646.     Info (17048): Logic cell "lpm_divide:Div2|lpm_divide_vco:auto_generated|abs_divider_4dg:divider|alt_u_div_ske:divider|add_sub_11_result_int[2]~20"
  647.     Info (17048): Logic cell "lpm_divide:Div2|lpm_divide_vco:auto_generated|abs_divider_4dg:divider|alt_u_div_ske:divider|add_sub_11_result_int[1]~22"
  648. Info (144001): Generated suppressed messages file Z:/Users/dariogogliandolo/Google Drive/Altera/projects/SDRAM_VGA/SDRAM_VGA.map.smsg
  649. Info (35024): Successfully connected in-system debug instance "auto_signaltap_0" to all 72 required data inputs, trigger inputs, acquisition clocks, and dynamic pins
  650. Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
  651.     Info (16011): Adding 2 node(s), including 0 DDIO, 2 PLL, 0 transceiver and 0 LCELL
  652. Warning (15899): PLL "PLLVGA:pll1|altpll:altpll_component|PLLVGA_altpll:auto_generated|pll1" has parameters clk1_multiply_by and clk1_divide_by specified but port CLK[1] is not connected
  653. Warning (21074): Design contains 3 input pin(s) that do not drive logic
  654.     Warning (15610): No output dependent on input pin "ADC_CLK_10"
  655.     Warning (15610): No output dependent on input pin "GSENSOR_INT[1]"
  656.     Warning (15610): No output dependent on input pin "GSENSOR_INT[2]"
  657. Info (21057): Implemented 12504 device resources after synthesis - the final resource count might be different
  658.     Info (21058): Implemented 20 input pins
  659.     Info (21059): Implemented 98 output pins
  660.     Info (21060): Implemented 71 bidirectional pins
  661.     Info (21061): Implemented 12160 logic cells
  662.     Info (21064): Implemented 152 RAM segments
  663.     Info (21065): Implemented 2 PLLs
  664. Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 356 warnings
  665.     Info: Peak virtual memory: 799 megabytes
  666.     Info: Processing ended: Tue Aug 08 17:17:49 2017
  667.     Info: Elapsed time: 00:09:29
  668.     Info: Total CPU time (on all processors): 00:09:49
  669. Info: *******************************************************************
  670. Info: Running Quartus Prime Fitter
  671.     Info: Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
  672.     Info: Processing started: Tue Aug 08 17:17:51 2017
  673. Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off SDRAM_VGA -c SDRAM_VGA
  674. Info: qfit2_default_script.tcl version: #1
  675. Info: Project  = SDRAM_VGA
  676. Info: Revision = SDRAM_VGA
  677. Info (20030): Parallel compilation is enabled and will use 3 of the 3 processors detected
  678. Info (119006): Selected device 10M50DAF484C7G for design "SDRAM_VGA"
  679. Info (21077): Low junction temperature is 0 degrees C
  680. Info (21077): High junction temperature is 85 degrees C
  681. Info (15535): Implemented PLL "pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|pll1" as MAX 10 PLL type
  682.     Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 0 degrees (0 ps) for pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|wire_pll1_clk[0] port
  683.     Info (15099): Implementing clock multiplication of 2, clock division of 1, and phase shift of 108 degrees (3000 ps) for pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|wire_pll1_clk[1] port
  684. Info (15535): Implemented PLL "PLLVGA:pll1|altpll:altpll_component|PLLVGA_altpll:auto_generated|pll1" as MAX 10 PLL type
  685.     Info (15099): Implementing clock multiplication of 74, clock division of 147, and phase shift of 0 degrees (0 ps) for PLLVGA:pll1|altpll:altpll_component|PLLVGA_altpll:auto_generated|wire_pll1_clk[0] port
  686. Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
  687. Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
  688. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
  689.     Info (176445): Device 10M08DAF484I7G is compatible
  690.     Info (176445): Device 10M08DAF484I7P is compatible
  691.     Info (176445): Device 10M16DAF484C7G is compatible
  692.     Info (176445): Device 10M16DAF484I7G is compatible
  693.     Info (176445): Device 10M25DAF484C7G is compatible
  694.     Info (176445): Device 10M25DAF484I7G is compatible
  695.     Info (176445): Device 10M50DAF484I7G is compatible
  696.     Info (176445): Device 10M50DAF484I7P is compatible
  697.     Info (176445): Device 10M40DAF484C7G is compatible
  698.     Info (176445): Device 10M40DAF484I7G is compatible
  699. Info (169124): Fitter converted 4 user pins into dedicated programming pins
  700.     Info (169125): Pin ~ALTERA_CONFIG_SEL~ is reserved at location H10
  701.     Info (169125): Pin ~ALTERA_nCONFIG~ is reserved at location H9
  702.     Info (169125): Pin ~ALTERA_nSTATUS~ is reserved at location G9
  703.     Info (169125): Pin ~ALTERA_CONF_DONE~ is reserved at location F8
  704. Info (169141): DATA[0] dual-purpose pin not reserved
  705. Info (12825): Data[1]/ASDO dual-purpose pin not reserved
  706. Info (12825): nCSO dual-purpose pin not reserved
  707. Info (12825): DCLK dual-purpose pin not reserved
  708. Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
  709. Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
  710. Info (332164): Evaluating HDL-embedded SDC commands
  711.     Info (332165): Entity sld_hub
  712.         Info (332166): create_clock -name altera_reserved_tck [get_ports {altera_reserved_tck}] -period 10MHz  
  713.         Info (332166): set_clock_groups -asynchronous -group {altera_reserved_tck}
  714. Info (332104): Reading SDC File: 'SDRAM_VGA.out.sdc'
  715. Warning (332043): Overwriting existing clock: altera_reserved_tck
  716. Info (332104): Reading SDC File: 'SDRAM_VGA.SDC'
  717. Warning (332043): Overwriting existing clock: ADC_CLK_10
  718. Warning (332043): Overwriting existing clock: MAX10_CLK1_50
  719. Warning (332043): Overwriting existing clock: MAX10_CLK2_50
  720. Info (332099):  You called derive_pll_clocks. User-defined clock found on pll: test|altpll_component|auto_generated|pll1|clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll.
  721. Info (332099):  You called derive_pll_clocks. User-defined clock found on pll: test|altpll_component|auto_generated|pll1|clk[1]. Original clock has priority over derived pll clocks. No clocks added to this pll.
  722. Info (332099):  You called derive_pll_clocks. User-defined clock found on pll: pll1|altpll_component|auto_generated|pll1|clk[0]. Original clock has priority over derived pll clocks. No clocks added to this pll.
  723. Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
  724. Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
  725.     Info (332098): Cell: altera_internal_jtag  from: tck  to: tckutap
  726. Info (332152): The following assignments are ignored by the derive_clock_uncertainty command
  727. Warning (332061): Virtual clock ram is never referenced in any input or output delay assignment.
  728. Info (332171): The following clock uncertainty values are less than the recommended values that would be applied by the derive_clock_uncertainty command
  729.     Info (332172): Setup clock transfer from MAX10_CLK1_50 (Rise) to MAX10_CLK1_50 (Rise) has uncertainty 0.020 that is less than the recommended uncertainty 0.090
  730.     Info (332172): Hold clock transfer from MAX10_CLK1_50 (Rise) to MAX10_CLK1_50 (Rise) has uncertainty 0.020 that is less than the recommended uncertainty 0.090
  731.     Info (332172): Setup clock transfer from MAX10_CLK1_50 (Rise) to test|altpll_component|auto_generated|pll1|clk[0] (Rise) has uncertainty 0.080 that is less than the recommended uncertainty 0.150
  732.     Info (332172): Hold clock transfer from MAX10_CLK1_50 (Rise) to test|altpll_component|auto_generated|pll1|clk[0] (Rise) has uncertainty 0.110 that is less than the recommended uncertainty 0.150
  733.     Info (332172): Setup clock transfer from MAX10_CLK1_50 (Fall) to test|altpll_component|auto_generated|pll1|clk[0] (Rise) has uncertainty 0.080 that is less than the recommended uncertainty 0.150
  734.     Info (332172): Hold clock transfer from MAX10_CLK1_50 (Fall) to test|altpll_component|auto_generated|pll1|clk[0] (Rise) has uncertainty 0.110 that is less than the recommended uncertainty 0.150
  735. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements
  736. Info (332111): Found 8 clocks
  737.     Info (332111):   Period   Clock Name
  738.     Info (332111): ======== ============
  739.     Info (332111):  100.000   ADC_CLK_10
  740.     Info (332111):  100.000 altera_reserved_tck
  741.     Info (332111):   20.000 MAX10_CLK1_50
  742.     Info (332111):   20.000 MAX10_CLK2_50
  743.     Info (332111):   39.729 pll1|altpll_component|auto_generated|pll1|clk[0]
  744.     Info (332111):   10.000          ram
  745.     Info (332111):   10.000 test|altpll_component|auto_generated|pll1|clk[0]
  746.     Info (332111):   10.000 test|altpll_component|auto_generated|pll1|clk[1]
  747. Info (176353): Automatically promoted node MAX10_CLK1_50~input (placed in PIN P11 (CLK7p, DIFFIO_TX_RX_B20p, DIFFOUT_B20p, High_Speed))
  748.     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G19
  749.     Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
  750.         Info (176357): Destination node sld_signaltap:auto_signaltap_0|acq_trigger_in_reg[17]
  751.         Info (176357): Destination node sld_signaltap:auto_signaltap_0|acq_data_in_reg[17]
  752. Info (176353): Automatically promoted node pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|wire_pll1_clk[0] (placed in counter C0 of PLL_2)
  753.     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G8
  754. Info (176353): Automatically promoted node pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|wire_pll1_clk[1] (placed in counter C1 of PLL_2)
  755.     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G9
  756. Info (176353): Automatically promoted node PLLVGA:pll1|altpll:altpll_component|PLLVGA_altpll:auto_generated|wire_pll1_clk[0] (placed in counter C0 of PLL_1)
  757.     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18
  758. Info (176353): Automatically promoted node altera_internal_jtag~TCKUTAP
  759.     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
  760. Info (176353): Automatically promoted node sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|reset_all
  761.     Info (176355): Automatically promoted destinations to use location or clock signal Global Clock
  762.     Info (176356): Following destination nodes may be non-global or may not use global or regional clocks
  763.         Info (176357): Destination node sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_buffer_manager:sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0
  764.         Info (176357): Destination node sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~0
  765.         Info (176357): Destination node sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|sld_offload_buffer_mgr:\stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset
  766. Info (176233): Starting register packing
  767. Info (176235): Finished register packing
  768.     Extra Info (176219): No registers were packed into other blocks
  769. Warning (15064): PLL "pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|pll1" output port clk[0] feeds output pin "LEDR[8]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
  770. Warning (15064): PLL "pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|pll1" output port clk[1] feeds output pin "LEDR[7]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
  771. Warning (15064): PLL "pll2:test|altpll:altpll_component|pll2_altpll:auto_generated|pll1" output port clk[1] feeds output pin "DRAM_CLK~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
  772. Info (171121): Fitter preparation operations ending: elapsed time is 00:00:10
  773. Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
  774. Info (170189): Fitter placement preparation operations beginning
  775. Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:05
  776. Info (170191): Fitter placement operations beginning
  777. Info (170137): Fitter placement was successful
  778. Info (170192): Fitter placement operations ending: elapsed time is 00:01:02
  779. Info (170193): Fitter routing operations beginning
  780. Info (170195): Router estimated average interconnect usage is 9% of the available device resources
  781.     Info (170196): Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X45_Y22 to location X55_Y32
  782. Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
  783.     Info (170201): Optimizations that may affect the design's routability were skipped
  784. Info (170194): Fitter routing operations ending: elapsed time is 00:01:28
  785. Info (11888): Total time spent on timing analysis during the Fitter is 23.38 seconds.
  786. Info (334003): Started post-fitting delay annotation
  787. Info (334004): Delay annotation completed successfully
  788. Info (334003): Started post-fitting delay annotation
  789. Info (334004): Delay annotation completed successfully
  790. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:30
  791. Warning (169177): 88 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing MAX 10 Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
  792.     Info (169178): Pin ADC_CLK_10 uses I/O standard 3.3-V LVTTL at N5
  793.     Info (169178): Pin GSENSOR_INT[1] uses I/O standard 3.3-V LVTTL at Y14
  794.     Info (169178): Pin GSENSOR_INT[2] uses I/O standard 3.3-V LVTTL at Y13
  795.     Info (169178): Pin GSENSOR_SDI uses I/O standard 3.3-V LVTTL at V11
  796.     Info (169178): Pin GSENSOR_SDO uses I/O standard 3.3-V LVTTL at V12
  797.     Info (169178): Pin ARDUINO_IO[0] uses I/O standard 3.3-V LVTTL at AB5
  798.     Info (169178): Pin ARDUINO_IO[1] uses I/O standard 3.3-V LVTTL at AB6
  799.     Info (169178): Pin ARDUINO_IO[2] uses I/O standard 3.3-V LVTTL at AB7
  800.     Info (169178): Pin ARDUINO_IO[3] uses I/O standard 3.3-V LVTTL at AB8
  801.     Info (169178): Pin ARDUINO_IO[4] uses I/O standard 3.3-V LVTTL at AB9
  802.     Info (169178): Pin ARDUINO_IO[5] uses I/O standard 3.3-V LVTTL at Y10
  803.     Info (169178): Pin ARDUINO_IO[6] uses I/O standard 3.3-V LVTTL at AA11
  804.     Info (169178): Pin ARDUINO_IO[7] uses I/O standard 3.3-V LVTTL at AA12
  805.     Info (169178): Pin ARDUINO_IO[8] uses I/O standard 3.3-V LVTTL at AB17
  806.     Info (169178): Pin ARDUINO_IO[9] uses I/O standard 3.3-V LVTTL at AA17
  807.     Info (169178): Pin ARDUINO_IO[10] uses I/O standard 3.3-V LVTTL at AB19
  808.     Info (169178): Pin ARDUINO_IO[11] uses I/O standard 3.3-V LVTTL at AA19
  809.     Info (169178): Pin ARDUINO_IO[12] uses I/O standard 3.3-V LVTTL at Y19
  810.     Info (169178): Pin ARDUINO_IO[13] uses I/O standard 3.3-V LVTTL at AB20
  811.     Info (169178): Pin ARDUINO_IO[14] uses I/O standard 3.3-V LVTTL at AB21
  812.     Info (169178): Pin ARDUINO_IO[15] uses I/O standard 3.3-V LVTTL at AA20
  813.     Info (169178): Pin ARDUINO_RESET_N uses I/O standard 3.3 V Schmitt Trigger at F16
  814.     Info (169178): Pin GPIO[0] uses I/O standard 3.3-V LVTTL at V10
  815.     Info (169178): Pin GPIO[1] uses I/O standard 3.3-V LVTTL at W10
  816.     Info (169178): Pin GPIO[2] uses I/O standard 3.3-V LVTTL at V9
  817.     Info (169178): Pin GPIO[3] uses I/O standard 3.3-V LVTTL at W9
  818.     Info (169178): Pin GPIO[4] uses I/O standard 3.3-V LVTTL at V8
  819.     Info (169178): Pin GPIO[5] uses I/O standard 3.3-V LVTTL at W8
  820.     Info (169178): Pin GPIO[6] uses I/O standard 3.3-V LVTTL at V7
  821.     Info (169178): Pin GPIO[7] uses I/O standard 3.3-V LVTTL at W7
  822.     Info (169178): Pin GPIO[8] uses I/O standard 3.3-V LVTTL at W6
  823.     Info (169178): Pin GPIO[9] uses I/O standard 3.3-V LVTTL at V5
  824.     Info (169178): Pin GPIO[10] uses I/O standard 3.3-V LVTTL at W5
  825.     Info (169178): Pin GPIO[11] uses I/O standard 3.3-V LVTTL at AA15
  826.     Info (169178): Pin GPIO[12] uses I/O standard 3.3-V LVTTL at AA14
  827.     Info (169178): Pin GPIO[13] uses I/O standard 3.3-V LVTTL at W13
  828.     Info (169178): Pin GPIO[14] uses I/O standard 3.3-V LVTTL at W12
  829.     Info (169178): Pin GPIO[15] uses I/O standard 3.3-V LVTTL at AB13
  830.     Info (169178): Pin GPIO[16] uses I/O standard 3.3-V LVTTL at AB12
  831.     Info (169178): Pin GPIO[17] uses I/O standard 3.3-V LVTTL at Y11
  832.     Info (169178): Pin GPIO[18] uses I/O standard 3.3-V LVTTL at AB11
  833.     Info (169178): Pin GPIO[19] uses I/O standard 3.3-V LVTTL at W11
  834.     Info (169178): Pin GPIO[20] uses I/O standard 3.3-V LVTTL at AB10
  835.     Info (169178): Pin GPIO[21] uses I/O standard 3.3-V LVTTL at AA10
  836.     Info (169178): Pin GPIO[22] uses I/O standard 3.3-V LVTTL at AA9
  837.     Info (169178): Pin GPIO[23] uses I/O standard 3.3-V LVTTL at Y8
  838.     Info (169178): Pin GPIO[24] uses I/O standard 3.3-V LVTTL at AA8
  839.     Info (169178): Pin GPIO[25] uses I/O standard 3.3-V LVTTL at Y7
  840.     Info (169178): Pin GPIO[26] uses I/O standard 3.3-V LVTTL at AA7
  841.     Info (169178): Pin GPIO[27] uses I/O standard 3.3-V LVTTL at Y6
  842.     Info (169178): Pin GPIO[28] uses I/O standard 3.3-V LVTTL at AA6
  843.     Info (169178): Pin GPIO[29] uses I/O standard 3.3-V LVTTL at Y5
  844.     Info (169178): Pin GPIO[30] uses I/O standard 3.3-V LVTTL at AA5
  845.     Info (169178): Pin GPIO[31] uses I/O standard 3.3-V LVTTL at Y4
  846.     Info (169178): Pin GPIO[32] uses I/O standard 3.3-V LVTTL at AB3
  847.     Info (169178): Pin GPIO[33] uses I/O standard 3.3-V LVTTL at Y3
  848.     Info (169178): Pin GPIO[34] uses I/O standard 3.3-V LVTTL at AB2
  849.     Info (169178): Pin GPIO[35] uses I/O standard 3.3-V LVTTL at AA2
  850.     Info (169178): Pin DRAM_DQ[0] uses I/O standard 3.3-V LVTTL at Y21
  851.     Info (169178): Pin DRAM_DQ[1] uses I/O standard 3.3-V LVTTL at Y20
  852.     Info (169178): Pin DRAM_DQ[2] uses I/O standard 3.3-V LVTTL at AA22
  853.     Info (169178): Pin DRAM_DQ[3] uses I/O standard 3.3-V LVTTL at AA21
  854.     Info (169178): Pin DRAM_DQ[4] uses I/O standard 3.3-V LVTTL at Y22
  855.     Info (169178): Pin DRAM_DQ[5] uses I/O standard 3.3-V LVTTL at W22
  856.     Info (169178): Pin DRAM_DQ[6] uses I/O standard 3.3-V LVTTL at W20
  857.     Info (169178): Pin DRAM_DQ[7] uses I/O standard 3.3-V LVTTL at V21
  858.     Info (169178): Pin DRAM_DQ[8] uses I/O standard 3.3-V LVTTL at P21
  859.     Info (169178): Pin DRAM_DQ[9] uses I/O standard 3.3-V LVTTL at J22
  860.     Info (169178): Pin DRAM_DQ[10] uses I/O standard 3.3-V LVTTL at H21
  861.     Info (169178): Pin DRAM_DQ[11] uses I/O standard 3.3-V LVTTL at H22
  862.     Info (169178): Pin DRAM_DQ[12] uses I/O standard 3.3-V LVTTL at G22
  863.     Info (169178): Pin DRAM_DQ[13] uses I/O standard 3.3-V LVTTL at G20
  864.     Info (169178): Pin DRAM_DQ[14] uses I/O standard 3.3-V LVTTL at G19
  865.     Info (169178): Pin DRAM_DQ[15] uses I/O standard 3.3-V LVTTL at F22
  866.     Info (169178): Pin SW[9] uses I/O standard 3.3-V LVTTL at F15
  867.     Info (169178): Pin SW[0] uses I/O standard 3.3-V LVTTL at C10
  868.     Info (169178): Pin SW[1] uses I/O standard 3.3-V LVTTL at C11
  869.     Info (169178): Pin SW[2] uses I/O standard 3.3-V LVTTL at D12
  870.     Info (169178): Pin SW[3] uses I/O standard 3.3-V LVTTL at C12
  871.     Info (169178): Pin MAX10_CLK2_50 uses I/O standard 3.3-V LVTTL at N14
  872.     Info (169178): Pin SW[4] uses I/O standard 3.3-V LVTTL at A12
  873.     Info (169178): Pin SW[5] uses I/O standard 3.3-V LVTTL at B12
  874.     Info (169178): Pin SW[6] uses I/O standard 3.3-V LVTTL at A13
  875.     Info (169178): Pin SW[7] uses I/O standard 3.3-V LVTTL at A14
  876.     Info (169178): Pin KEY[0] uses I/O standard 3.3 V Schmitt Trigger at B8
  877.     Info (169178): Pin MAX10_CLK1_50 uses I/O standard 3.3-V LVTTL at P11
  878.     Info (169178): Pin KEY[1] uses I/O standard 3.3 V Schmitt Trigger at A7
  879.     Info (169178): Pin SW[8] uses I/O standard 3.3-V LVTTL at B14
  880. Warning (169064): Following 55 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
  881.     Info (169065): Pin GSENSOR_SDI has a permanently disabled output enable
  882.     Info (169065): Pin GSENSOR_SDO has a permanently disabled output enable
  883.     Info (169065): Pin ARDUINO_IO[0] has a permanently disabled output enable
  884.     Info (169065): Pin ARDUINO_IO[1] has a permanently disabled output enable
  885.     Info (169065): Pin ARDUINO_IO[2] has a permanently disabled output enable
  886.     Info (169065): Pin ARDUINO_IO[3] has a permanently disabled output enable
  887.     Info (169065): Pin ARDUINO_IO[4] has a permanently disabled output enable
  888.     Info (169065): Pin ARDUINO_IO[5] has a permanently disabled output enable
  889.     Info (169065): Pin ARDUINO_IO[6] has a permanently disabled output enable
  890.     Info (169065): Pin ARDUINO_IO[7] has a permanently disabled output enable
  891.     Info (169065): Pin ARDUINO_IO[8] has a permanently disabled output enable
  892.     Info (169065): Pin ARDUINO_IO[9] has a permanently disabled output enable
  893.     Info (169065): Pin ARDUINO_IO[10] has a permanently disabled output enable
  894.     Info (169065): Pin ARDUINO_IO[11] has a permanently disabled output enable
  895.     Info (169065): Pin ARDUINO_IO[12] has a permanently disabled output enable
  896.     Info (169065): Pin ARDUINO_IO[13] has a permanently disabled output enable
  897.     Info (169065): Pin ARDUINO_IO[14] has a permanently disabled output enable
  898.     Info (169065): Pin ARDUINO_IO[15] has a permanently disabled output enable
  899.     Info (169065): Pin ARDUINO_RESET_N has a permanently disabled output enable
  900.     Info (169065): Pin GPIO[0] has a permanently disabled output enable
  901.     Info (169065): Pin GPIO[1] has a permanently disabled output enable
  902.     Info (169065): Pin GPIO[2] has a permanently disabled output enable
  903.     Info (169065): Pin GPIO[3] has a permanently disabled output enable
  904.     Info (169065): Pin GPIO[4] has a permanently disabled output enable
  905.     Info (169065): Pin GPIO[5] has a permanently disabled output enable
  906.     Info (169065): Pin GPIO[6] has a permanently disabled output enable
  907.     Info (169065): Pin GPIO[7] has a permanently disabled output enable
  908.     Info (169065): Pin GPIO[8] has a permanently disabled output enable
  909.     Info (169065): Pin GPIO[9] has a permanently disabled output enable
  910.     Info (169065): Pin GPIO[10] has a permanently disabled output enable
  911.     Info (169065): Pin GPIO[11] has a permanently disabled output enable
  912.     Info (169065): Pin GPIO[12] has a permanently disabled output enable
  913.     Info (169065): Pin GPIO[13] has a permanently disabled output enable
  914.     Info (169065): Pin GPIO[14] has a permanently disabled output enable
  915.     Info (169065): Pin GPIO[15] has a permanently disabled output enable
  916.     Info (169065): Pin GPIO[16] has a permanently disabled output enable
  917.     Info (169065): Pin GPIO[17] has a permanently disabled output enable
  918.     Info (169065): Pin GPIO[18] has a permanently disabled output enable
  919.     Info (169065): Pin GPIO[19] has a permanently disabled output enable
  920.     Info (169065): Pin GPIO[20] has a permanently disabled output enable
  921.     Info (169065): Pin GPIO[21] has a permanently disabled output enable
  922.     Info (169065): Pin GPIO[22] has a permanently disabled output enable
  923.     Info (169065): Pin GPIO[23] has a permanently disabled output enable
  924.     Info (169065): Pin GPIO[24] has a permanently disabled output enable
  925.     Info (169065): Pin GPIO[25] has a permanently disabled output enable
  926.     Info (169065): Pin GPIO[26] has a permanently disabled output enable
  927.     Info (169065): Pin GPIO[27] has a permanently disabled output enable
  928.     Info (169065): Pin GPIO[28] has a permanently disabled output enable
  929.     Info (169065): Pin GPIO[29] has a permanently disabled output enable
  930.     Info (169065): Pin GPIO[30] has a permanently disabled output enable
  931.     Info (169065): Pin GPIO[31] has a permanently disabled output enable
  932.     Info (169065): Pin GPIO[32] has a permanently disabled output enable
  933.     Info (169065): Pin GPIO[33] has a permanently disabled output enable
  934.     Info (169065): Pin GPIO[34] has a permanently disabled output enable
  935.     Info (169065): Pin GPIO[35] has a permanently disabled output enable
  936. Info (144001): Generated suppressed messages file Z:/Users/dariogogliandolo/Google Drive/Altera/projects/SDRAM_VGA/SDRAM_VGA.fit.smsg
  937. Info: Quartus Prime Fitter was successful. 0 errors, 12 warnings
  938.     Info: Peak virtual memory: 1538 megabytes
  939.     Info: Processing ended: Tue Aug 08 17:21:26 2017
  940.     Info: Elapsed time: 00:03:35
  941.     Info: Total CPU time (on all processors): 00:06:03
  942. Info: *******************************************************************
  943. Info: Running Quartus Prime Assembler
  944.     Info: Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
  945.     Info: Processing started: Tue Aug 08 17:21:27 2017
  946. Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off SDRAM_VGA -c SDRAM_VGA
  947. Info (115031): Writing out detailed assembly data for power analysis
  948. Info (115030): Assembler is generating device programming files
  949. Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings
  950.     Info: Peak virtual memory: 575 megabytes
  951.     Info: Processing ended: Tue Aug 08 17:21:33 2017
  952.     Info: Elapsed time: 00:00:06
  953.     Info: Total CPU time (on all processors): 00:00:06
  954. Info (293026): Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER
  955. Info: *******************************************************************
  956. Info: Running Quartus Prime TimeQuest Timing Analyzer
  957.     Info: Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
  958.     Info: Processing started: Tue Aug 08 17:21:35 2017
  959. Info: Command: quartus_sta SDRAM_VGA -c SDRAM_VGA
  960. Info: qsta_default_script.tcl version: #1
  961. Info (20030): Parallel compilation is enabled and will use 3 of the 3 processors detected
  962. Info (21077): Low junction temperature is 0 degrees C
  963. Info (21077): High junction temperature is 85 degrees C
  964. Info (332164): Evaluating HDL-embedded SDC commands
  965.     Info (332165): Entity sld_hub
  966.         Info (332166): create_clock -name altera_reserved_tck [get_ports {altera_reserved_tck}] -period 10MHz  
  967.         Info (332166): set_clock_groups -asynchronous -group {altera_reserved_tck}
  968. Info (332104): Reading SDC File: 'SDRAM_VGA.out.sdc'
  969. Warning (332043): Overwriting existing clock: altera_reserved_tck
  970. Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
  971.     Info (332098): Cell: altera_internal_jtag  from: tck  to: tckutap
  972. Warning (332061): Virtual clock ram is never referenced in any input or output delay assignment.
  973. Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
  974. Info: Analyzing Slow 1200mV 85C Model
  975. Info: Can't run Report Timing Closure Recommendations. The current device family is not supported.
  976. Critical Warning (332148): Timing requirements not met
  977. Info (332146): Worst-case setup slack is -164.670
  978.     Info (332119):     Slack       End Point TNS Clock
  979.     Info (332119): ========= =================== =====================
  980.     Info (332119):  -164.670           -3467.055 pll1|altpll_component|auto_generated|pll1|clk[0]
  981.     Info (332119):  -119.611          -86782.923 test|altpll_component|auto_generated|pll1|clk[0]
  982.     Info (332119):    -1.918            -193.013 test|altpll_component|auto_generated|pll1|clk[1]
  983.     Info (332119):    18.361               0.000 MAX10_CLK1_50
  984.     Info (332119):    43.828               0.000 altera_reserved_tck
  985. Info (332146): Worst-case hold slack is 0.310
  986.     Info (332119):     Slack       End Point TNS Clock
  987.     Info (332119): ========= =================== =====================
  988.     Info (332119):     0.310               0.000 test|altpll_component|auto_generated|pll1|clk[0]
  989.     Info (332119):     0.339               0.000 altera_reserved_tck
  990.     Info (332119):     0.443               0.000 MAX10_CLK1_50
  991.     Info (332119):     0.659               0.000 pll1|altpll_component|auto_generated|pll1|clk[0]
  992.     Info (332119):     8.605               0.000 test|altpll_component|auto_generated|pll1|clk[1]
  993. Info (332146): Worst-case recovery slack is 94.731
  994.     Info (332119):     Slack       End Point TNS Clock
  995.     Info (332119): ========= =================== =====================
  996.     Info (332119):    94.731               0.000 altera_reserved_tck
  997. Info (332146): Worst-case removal slack is 0.902
  998.     Info (332119):     Slack       End Point TNS Clock
  999.     Info (332119): ========= =================== =====================
  1000.     Info (332119):     0.902               0.000 altera_reserved_tck
  1001. Info (332146): Worst-case minimum pulse width slack is 4.667
  1002.     Info (332119):     Slack       End Point TNS Clock
  1003.     Info (332119): ========= =================== =====================
  1004.     Info (332119):     4.667               0.000 test|altpll_component|auto_generated|pll1|clk[0]
  1005.     Info (332119):     4.713               0.000 test|altpll_component|auto_generated|pll1|clk[1]
  1006.     Info (332119):     9.649               0.000 MAX10_CLK1_50
  1007.     Info (332119):     9.838               0.000 MAX10_CLK2_50
  1008.     Info (332119):    19.608               0.000 pll1|altpll_component|auto_generated|pll1|clk[0]
  1009.     Info (332119):    49.523               0.000 altera_reserved_tck
  1010.     Info (332119):    96.000               0.000 ADC_CLK_10
  1011. Info (332114): Report Metastability: Found 8 synchronizer chains.
  1012.     Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design.
  1013.     Info (332114): Number of Synchronizer Chains Found: 8
  1014.     Info (332114): Shortest Synchronizer Chain: 4 Registers
  1015.     Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000
  1016.     Info (332114): Worst Case Available Settling Time: 39.226 ns
  1017.     Info (332114):
  1018. Info: Analyzing Slow 1200mV 0C Model
  1019. Info (334003): Started post-fitting delay annotation
  1020. Info (334004): Delay annotation completed successfully
  1021. Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
  1022.     Info (332098): Cell: altera_internal_jtag  from: tck  to: tckutap
  1023. Warning (332061): Virtual clock ram is never referenced in any input or output delay assignment.
  1024. Critical Warning (332148): Timing requirements not met
  1025. Info (332146): Worst-case setup slack is -146.110
  1026.     Info (332119):     Slack       End Point TNS Clock
  1027.     Info (332119): ========= =================== =====================
  1028.     Info (332119):  -146.110           -2991.328 pll1|altpll_component|auto_generated|pll1|clk[0]
  1029.     Info (332119):  -107.820          -78218.942 test|altpll_component|auto_generated|pll1|clk[0]
  1030.     Info (332119):    -1.537            -147.656 test|altpll_component|auto_generated|pll1|clk[1]
  1031.     Info (332119):    18.516               0.000 MAX10_CLK1_50
  1032.     Info (332119):    44.249               0.000 altera_reserved_tck
  1033. Info (332146): Worst-case hold slack is 0.288
  1034.     Info (332119):     Slack       End Point TNS Clock
  1035.     Info (332119): ========= =================== =====================
  1036.     Info (332119):     0.288               0.000 test|altpll_component|auto_generated|pll1|clk[0]
  1037.     Info (332119):     0.305               0.000 altera_reserved_tck
  1038.     Info (332119):     0.410               0.000 MAX10_CLK1_50
  1039.     Info (332119):     0.609               0.000 pll1|altpll_component|auto_generated|pll1|clk[0]
  1040.     Info (332119):     8.488               0.000 test|altpll_component|auto_generated|pll1|clk[1]
  1041. Info (332146): Worst-case recovery slack is 95.150
  1042.     Info (332119):     Slack       End Point TNS Clock
  1043.     Info (332119): ========= =================== =====================
  1044.     Info (332119):    95.150               0.000 altera_reserved_tck
  1045. Info (332146): Worst-case removal slack is 0.827
  1046.     Info (332119):     Slack       End Point TNS Clock
  1047.     Info (332119): ========= =================== =====================
  1048.     Info (332119):     0.827               0.000 altera_reserved_tck
  1049. Info (332146): Worst-case minimum pulse width slack is 4.682
  1050.     Info (332119):     Slack       End Point TNS Clock
  1051.     Info (332119): ========= =================== =====================
  1052.     Info (332119):     4.682               0.000 test|altpll_component|auto_generated|pll1|clk[0]
  1053.     Info (332119):     4.700               0.000 test|altpll_component|auto_generated|pll1|clk[1]
  1054.     Info (332119):     9.660               0.000 MAX10_CLK1_50
  1055.     Info (332119):     9.778               0.000 MAX10_CLK2_50
  1056.     Info (332119):    19.579               0.000 pll1|altpll_component|auto_generated|pll1|clk[0]
  1057.     Info (332119):    49.540               0.000 altera_reserved_tck
  1058.     Info (332119):    96.000               0.000 ADC_CLK_10
  1059. Info (332114): Report Metastability: Found 8 synchronizer chains.
  1060.     Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design.
  1061.     Info (332114): Number of Synchronizer Chains Found: 8
  1062.     Info (332114): Shortest Synchronizer Chain: 4 Registers
  1063.     Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000
  1064.     Info (332114): Worst Case Available Settling Time: 39.962 ns
  1065.     Info (332114):
  1066. Info: Analyzing Fast 1200mV 0C Model
  1067. Info (332097): The following timing edges are non-unate.  TimeQuest will assume pos-unate behavior for these edges in the clock network.
  1068.     Info (332098): Cell: altera_internal_jtag  from: tck  to: tckutap
  1069. Warning (332061): Virtual clock ram is never referenced in any input or output delay assignment.
  1070. Critical Warning (332148): Timing requirements not met
  1071. Info (332146): Worst-case setup slack is -45.565
  1072.     Info (332119):     Slack       End Point TNS Clock
  1073.     Info (332119): ========= =================== =====================
  1074.     Info (332119):   -45.565            -460.227 pll1|altpll_component|auto_generated|pll1|clk[0]
  1075.     Info (332119):   -43.606          -31480.785 test|altpll_component|auto_generated|pll1|clk[0]
  1076.     Info (332119):     0.692               0.000 test|altpll_component|auto_generated|pll1|clk[1]
  1077.     Info (332119):    19.271               0.000 MAX10_CLK1_50
  1078.     Info (332119):    47.523               0.000 altera_reserved_tck
  1079. Info (332146): Worst-case hold slack is 0.125
  1080.     Info (332119):     Slack       End Point TNS Clock
  1081.     Info (332119): ========= =================== =====================
  1082.     Info (332119):     0.125               0.000 test|altpll_component|auto_generated|pll1|clk[0]
  1083.     Info (332119):     0.147               0.000 altera_reserved_tck
  1084.     Info (332119):     0.171               0.000 MAX10_CLK1_50
  1085.     Info (332119):     0.256               0.000 pll1|altpll_component|auto_generated|pll1|clk[0]
  1086.     Info (332119):     7.630               0.000 test|altpll_component|auto_generated|pll1|clk[1]
  1087. Info (332146): Worst-case recovery slack is 97.350
  1088.     Info (332119):     Slack       End Point TNS Clock
  1089.     Info (332119): ========= =================== =====================
  1090.     Info (332119):    97.350               0.000 altera_reserved_tck
  1091. Info (332146): Worst-case removal slack is 0.403
  1092.     Info (332119):     Slack       End Point TNS Clock
  1093.     Info (332119): ========= =================== =====================
  1094.     Info (332119):     0.403               0.000 altera_reserved_tck
  1095. Info (332146): Worst-case minimum pulse width slack is 4.711
  1096.     Info (332119):     Slack       End Point TNS Clock
  1097.     Info (332119): ========= =================== =====================
  1098.     Info (332119):     4.711               0.000 test|altpll_component|auto_generated|pll1|clk[0]
  1099.     Info (332119):     4.754               0.000 test|altpll_component|auto_generated|pll1|clk[1]
  1100.     Info (332119):     9.328               0.000 MAX10_CLK1_50
  1101.     Info (332119):     9.532               0.000 MAX10_CLK2_50
  1102.     Info (332119):    19.598               0.000 pll1|altpll_component|auto_generated|pll1|clk[0]
  1103.     Info (332119):    49.386               0.000 altera_reserved_tck
  1104.     Info (332119):    96.000               0.000 ADC_CLK_10
  1105. Info (332114): Report Metastability: Found 8 synchronizer chains.
  1106.     Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design.
  1107.     Info (332114): Number of Synchronizer Chains Found: 8
  1108.     Info (332114): Shortest Synchronizer Chain: 4 Registers
  1109.     Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000
  1110.     Info (332114): Worst Case Available Settling Time: 44.808 ns
  1111.     Info (332114):
  1112. Info (332102): Design is not fully constrained for setup requirements
  1113. Info (332102): Design is not fully constrained for hold requirements
  1114. Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 7 warnings
  1115.     Info: Peak virtual memory: 779 megabytes
  1116.     Info: Processing ended: Tue Aug 08 17:21:52 2017
  1117.     Info: Elapsed time: 00:00:17
  1118.     Info: Total CPU time (on all processors): 00:00:32
  1119. Info: *******************************************************************
  1120. Info: Running Quartus Prime EDA Netlist Writer
  1121.     Info: Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
  1122.     Info: Processing started: Tue Aug 08 17:21:53 2017
  1123. Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off SDRAM_VGA -c SDRAM_VGA
  1124. Warning (10905): Generated the EDA functional simulation netlist because it is the only supported netlist type for this device.
  1125. Info (204019): Generated file SDRAM_VGA.vo in folder "Z:/Users/dariogogliandolo/Google Drive/Altera/projects/SDRAM_VGA/simulation/modelsim/" for EDA simulation tool
  1126. Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning
  1127.     Info: Peak virtual memory: 644 megabytes
  1128.     Info: Processing ended: Tue Aug 08 17:22:00 2017
  1129.     Info: Elapsed time: 00:00:07
  1130.     Info: Total CPU time (on all processors): 00:00:07
  1131. Info (293000): Quartus Prime Full Compilation was successful. 0 errors, 376 warnings
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement