Advertisement
Guest User

Untitled

a guest
Aug 24th, 2016
98
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
GDB 1.63 KB | None | 0 0
  1. 770   iov = (struct iovec*) &(req->bufs[req->write_index]);
  2. (gdb) n
  3. 771   iovcnt = req->nbufs - req->write_index;
  4. (gdb)
  5. 773   iovmax = uv__getiovmax();
  6. (gdb)
  7. 776   if (iovcnt > iovmax)
  8. (gdb)
  9. 784   if (req->send_handle) {
  10. (gdb)
  11. 829       if (iovcnt == 1) {
  12. (gdb)
  13. 830         n = write(uv__stream_fd(stream), iov[0].iov_base, iov[0].iov_len);
  14. (gdb) eval uv__stream_fd(stream)
  15. Bad format string, missing '"'.
  16. (gdb) eval "uv__stream_fd(stream)"
  17. Undefined command: "uv__stream_fd".  Try "help".
  18. (gdb) p uv__stream_fd(stream)
  19. No symbol "uv__stream_fd" in current context.
  20. (gdb) p iov[0]
  21. $5 = {iov_base = 0x57b88a, iov_len = 7}
  22. (gdb) p iov[0].iov_base
  23. $6 = (void *) 0x57b88a
  24. (gdb) p (char*)iov[0].iov_base
  25. $7 = 0x57b88a "sonodi>"
  26. (gdb) p *stream
  27. $8 = {data = 0x0, loop = 0x7c3800 <default_loop_struct>, type = UV_TCP, close_cb = 0x0, handle_queue = {0x7c46e0,
  28.    0x7c38d0 <default_loop_struct+208>}, u = {fd = 0, reserved = {0x0, 0x0, 0x0, 0x0}}, next_closing = 0x0, flags = 286816,
  29.  write_queue_size = 7, alloc_cb = 0x0, read_cb = 0x0, connect_req = 0x0, shutdown_req = 0x0, io_watcher = {cb = 0x56a839 <uv__server_io>,
  30.    pending_queue = {0x7c4650, 0x7c4650}, watcher_queue = {0x7c4660, 0x7c4660}, pevents = 1, events = 1, fd = 10}, write_queue = {0x7c4818,
  31.    0x7c4818}, write_completed_queue = {0x7c4690, 0x7c4690}, connection_cb = 0x4052e0 <connection_cb>, delayed_error = 0, accepted_fd = -1,
  32.  queued_fds = 0x0}
  33. (gdb) n
  34.  
  35. Program received signal SIGPIPE, Broken pipe.
  36. 0x00007ffff74b5a60 in __write_nocancel () at ../sysdeps/unix/syscall-template.S:81
  37. 81  ../sysdeps/unix/syscall-template.S: No such file or directory.
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement