Advertisement
Guest User

Untitled

a guest
Apr 22nd, 2018
95
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module score (      input Reset, frame_clk, HitorNot,
  2.                     output logic [4:0] curr_score
  3.                 );
  4.  
  5. enum logic [3:0] {start, game}   State, Next_state;  
  6.  
  7. always_ff @ (posedge Reset or posedge frame_clk)
  8.     begin
  9.         if (Reset == 1)
  10.         begin
  11.                 State <= start;
  12.         end
  13.           else
  14.             State <= Next_state;
  15.     end    
  16.  
  17. always_comb
  18.     begin
  19.         Next_state = State;
  20.  
  21.         unique case (State)
  22.             start : Next_state = game; 
  23.             game  :
  24.                     if (curr_score == 7)
  25.                         Next_state = end;
  26.                     else
  27.                         Next_state = game;
  28.             end: ;                                                  // ENDGAME HERE
  29.             default : ;
  30.          endcase
  31.     end
  32.  
  33.  
  34. always_ff @ (posedge Reset or posedge frame_clk)
  35.     begin
  36.  
  37.         curr_score <= curr_score;
  38.          
  39.         case (State)
  40.             start :
  41.                 begin
  42.                     curr_score <= 0;
  43.                 end
  44.  
  45.             game :
  46.                 begin
  47.                
  48.                 if (HitorNot == 1)
  49.                     curr_score <= curr_score + 1;
  50.  
  51.                 end
  52.        
  53.           default : ;
  54.         endcase
  55.     end
  56. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement