Advertisement
Guest User

Untitled

a guest
Apr 26th, 2017
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.04 KB | None | 0 0
  1.  
  2. ****************************************
  3. Report : timing
  4. -path full
  5. -delay max
  6. -max_paths 1
  7. Design : FFT64
  8. Version: C-2009.06-SP5
  9. Date : Wed Apr 26 22:17:19 2017
  10. ****************************************
  11.  
  12. # A fanout number of 1000 was used for high fanout net computations.
  13.  
  14. Operating Conditions: typical Library: typical
  15. Wire Load Model Mode: top
  16.  
  17. Startpoint: In0[0] (input port)
  18. Endpoint: U1/U_00/Out_reg[0]
  19. (rising edge-triggered flip-flop clocked by clk)
  20. Path Group: clk
  21. Path Type: max
  22.  
  23. Point Incr Path
  24. --------------------------------------------------------------------------
  25. clock (input port clock) (rise edge) 0.00 0.00
  26. input external delay 0.00 0.00 r
  27. In0[0] (in) 0.00 0.00 r
  28. U1/U_00/Out_reg[0]/next_state (**SEQGEN**) 0.00 0.00 r
  29. data arrival time 0.00
  30.  
  31. clock clk (rise edge) 10.00 10.00
  32. clock network delay (ideal) 0.00 10.00
  33. U1/U_00/Out_reg[0]/clocked_on (**SEQGEN**) 0.00 10.00 r
  34. library setup time 0.00 10.00
  35. data required time 10.00
  36. --------------------------------------------------------------------------
  37. data required time 10.00
  38. data arrival time 0.00
  39. --------------------------------------------------------------------------
  40. slack (MET) 10.00
  41.  
  42.  
  43. Startpoint: U180/Out0_reg[0]
  44. (rising edge-triggered flip-flop clocked by clk)
  45. Endpoint: Out0[0] (output port)
  46. Path Group: default
  47. Path Type: max
  48.  
  49. Point Incr Path
  50. --------------------------------------------------------------------------
  51. U180/Out0_reg[0]/clocked_on (**SEQGEN**) 0.00 # 0.00 r
  52. U180/Out0_reg[0]/Q (**SEQGEN**) 0.00 0.00 r
  53. Out0[0] (out) 0.00 0.00 r
  54. data arrival time 0.00
  55.  
  56. max_delay 0.00 0.00
  57. output external delay 0.00 0.00
  58. data required time 0.00
  59. --------------------------------------------------------------------------
  60. data required time 0.00
  61. data arrival time 0.00
  62. --------------------------------------------------------------------------
  63. slack (MET) 0.00
  64.  
  65.  
  66. 1
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement