Advertisement
Guest User

Untitled

a guest
Jun 13th, 2019
97
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module counter16bit(clk,reset,q);
  2.     input clk,reset;
  3.     output [15:0]q;
  4.     reg [15:0]q;
  5.    
  6.     initial q=16'b1111111111111110;
  7.    
  8.     always @(posedge clk) begin
  9.     if (reset)
  10.         q=16'b0;
  11.     else
  12.         q=q+1;
  13.     end
  14. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement