Guest User

Untitled

a guest
Jan 31st, 2020
276
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 10.33 KB | None | 0 0
  1. # ## serial:0.tx
  2. #set_property LOC D10 [get_ports serial_tx]
  3. set_property IOSTANDARD LVCMOS33 [get_ports serial_tx]
  4. # ## serial:0.rx
  5. #set_property LOC A9 [get_ports serial_rx]
  6. set_property IOSTANDARD LVCMOS33 [get_ports serial_rx]
  7. # ## clk100:0
  8. #set_property LOC E3 [get_ports clk100]
  9. set_property IOSTANDARD LVCMOS33 [get_ports clk100]
  10. # ## cpu_reset:0
  11. #set_property LOC C2 [get_ports cpu_reset]
  12. set_property IOSTANDARD LVCMOS33 [get_ports cpu_reset]
  13. # ## ddram:0.a
  14. #set_property LOC R2 [get_ports {ddram_a[0]} ]
  15. set_property SLEW FAST [get_ports {ddram_a[0]} ]
  16. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[0]} ]
  17. # ## ddram:0.a
  18. #set_property LOC M6 [get_ports {ddram_a[1]} ]
  19. set_property SLEW FAST [get_ports {ddram_a[1]} ]
  20. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[1]} ]
  21. # ## ddram:0.a
  22. #set_property LOC N4 [get_ports {ddram_a[2]} ]
  23. set_property SLEW FAST [get_ports {ddram_a[2]} ]
  24. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[2]} ]
  25. # ## ddram:0.a
  26. #set_property LOC T1 [get_ports {ddram_a[3]} ]
  27. set_property SLEW FAST [get_ports {ddram_a[3]} ]
  28. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[3]} ]
  29. # ## ddram:0.a
  30. #set_property LOC N6 [get_ports {ddram_a[4]} ]
  31. set_property SLEW FAST [get_ports {ddram_a[4]} ]
  32. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[4]} ]
  33. # ## ddram:0.a
  34. #set_property LOC R7 [get_ports {ddram_a[5]} ]
  35. set_property SLEW FAST [get_ports {ddram_a[5]} ]
  36. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[5]} ]
  37. # ## ddram:0.a
  38. #set_property LOC V6 [get_ports {ddram_a[6]} ]
  39. set_property SLEW FAST [get_ports {ddram_a[6]} ]
  40. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[6]} ]
  41. # ## ddram:0.a
  42. #set_property LOC U7 [get_ports {ddram_a[7]} ]
  43. set_property SLEW FAST [get_ports {ddram_a[7]} ]
  44. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[7]} ]
  45. # ## ddram:0.a
  46. #set_property LOC R8 [get_ports {ddram_a[8]} ]
  47. set_property SLEW FAST [get_ports {ddram_a[8]} ]
  48. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[8]} ]
  49. # ## ddram:0.a
  50. #set_property LOC V7 [get_ports {ddram_a[9]} ]
  51. set_property SLEW FAST [get_ports {ddram_a[9]} ]
  52. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[9]} ]
  53. # ## ddram:0.a
  54. #set_property LOC R6 [get_ports {ddram_a[10]} ]
  55. set_property SLEW FAST [get_ports {ddram_a[10]} ]
  56. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[10]} ]
  57. # ## ddram:0.a
  58. #set_property LOC U6 [get_ports {ddram_a[11]} ]
  59. set_property SLEW FAST [get_ports {ddram_a[11]} ]
  60. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[11]} ]
  61. # ## ddram:0.a
  62. #set_property LOC T6 [get_ports {ddram_a[12]} ]
  63. set_property SLEW FAST [get_ports {ddram_a[12]} ]
  64. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[12]} ]
  65. # ## ddram:0.a
  66. #set_property LOC T8 [get_ports {ddram_a[13]} ]
  67. set_property SLEW FAST [get_ports {ddram_a[13]} ]
  68. set_property IOSTANDARD SSTL135 [get_ports {ddram_a[13]} ]
  69. # ## ddram:0.ba
  70. #set_property LOC R1 [get_ports {ddram_ba[0]} ]
  71. set_property SLEW FAST [get_ports {ddram_ba[0]} ]
  72. set_property IOSTANDARD SSTL135 [get_ports {ddram_ba[0]} ]
  73. # ## ddram:0.ba
  74. #set_property LOC P4 [get_ports {ddram_ba[1]} ]
  75. set_property SLEW FAST [get_ports {ddram_ba[1]} ]
  76. set_property IOSTANDARD SSTL135 [get_ports {ddram_ba[1]} ]
  77. # ## ddram:0.ba
  78. #set_property LOC P2 [get_ports {ddram_ba[2]} ]
  79. set_property SLEW FAST [get_ports {ddram_ba[2]} ]
  80. set_property IOSTANDARD SSTL135 [get_ports {ddram_ba[2]} ]
  81. # ## ddram:0.ras_n
  82. #set_property LOC P3 [get_ports {ddram_ras_n]
  83. set_property SLEW FAST [get_ports ddram_ras_n]
  84. set_property IOSTANDARD SSTL135 [get_ports ddram_ras_n]
  85. # ## ddram:0.cas_n
  86. #set_property LOC M4 [get_ports {ddram_cas_n]
  87. set_property SLEW FAST [get_ports ddram_cas_n]
  88. set_property IOSTANDARD SSTL135 [get_ports ddram_cas_n]
  89. # ## ddram:0.we_n
  90. #set_property LOC P5 [get_ports {ddram_we_n]
  91. set_property SLEW FAST [get_ports ddram_we_n]
  92. set_property IOSTANDARD SSTL135 [get_ports ddram_we_n]
  93. # ## ddram:0.cs_n
  94. #set_property LOC U8 [get_ports {ddram_cs_n]
  95. set_property SLEW FAST [get_ports ddram_cs_n]
  96. set_property IOSTANDARD SSTL135 [get_ports ddram_cs_n]
  97. # ## ddram:0.dm
  98. #set_property LOC L1 [get_ports {ddram_dm[0]} ]
  99. set_property SLEW FAST [get_ports {ddram_dm[0]} ]
  100. set_property IOSTANDARD SSTL135 [get_ports {ddram_dm[0]} ]
  101. # ## ddram:0.dm
  102. #set_property LOC U1 [get_ports {ddram_dm[1]} ]
  103. set_property SLEW FAST [get_ports {ddram_dm[1]} ]
  104. set_property IOSTANDARD SSTL135 [get_ports {ddram_dm[1]} ]
  105. # ## ddram:0.dq
  106. #set_property LOC K5 [get_ports {ddram_dq[0]} ]
  107. set_property SLEW FAST [get_ports {ddram_dq[0]} ]
  108. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[0]} ]
  109. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[0]} ]
  110. # ## ddram:0.dq
  111. #set_property LOC L3 [get_ports {ddram_dq[1]} ]
  112. set_property SLEW FAST [get_ports {ddram_dq[1]} ]
  113. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[1]} ]
  114. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[1]} ]
  115. # ## ddram:0.dq
  116. #set_property LOC K3 [get_ports {ddram_dq[2]} ]
  117. set_property SLEW FAST [get_ports {ddram_dq[2]} ]
  118. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[2]} ]
  119. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[2]} ]
  120. # ## ddram:0.dq
  121. #set_property LOC L6 [get_ports {ddram_dq[3]} ]
  122. set_property SLEW FAST [get_ports {ddram_dq[3]} ]
  123. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[3]} ]
  124. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[3]} ]
  125. # ## ddram:0.dq
  126. #set_property LOC M3 [get_ports {ddram_dq[4]} ]
  127. set_property SLEW FAST [get_ports {ddram_dq[4]} ]
  128. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[4]} ]
  129. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[4]} ]
  130. # ## ddram:0.dq
  131. #set_property LOC M1 [get_ports {ddram_dq[5]} ]
  132. set_property SLEW FAST [get_ports {ddram_dq[5]} ]
  133. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[5]} ]
  134. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[5]} ]
  135. # ## ddram:0.dq
  136. #set_property LOC L4 [get_ports {ddram_dq[6]} ]
  137. set_property SLEW FAST [get_ports {ddram_dq[6]} ]
  138. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[6]} ]
  139. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[6]} ]
  140. # ## ddram:0.dq
  141. #set_property LOC M2 [get_ports {ddram_dq[7]} ]
  142. set_property SLEW FAST [get_ports {ddram_dq[7]} ]
  143. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[7]} ]
  144. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[7]} ]
  145. # ## ddram:0.dq
  146. #set_property LOC V4 [get_ports {ddram_dq[8]} ]
  147. set_property SLEW FAST [get_ports {ddram_dq[8]} ]
  148. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[8]} ]
  149. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[8]} ]
  150. # ## ddram:0.dq
  151. #set_property LOC T5 [get_ports {ddram_dq[9]} ]
  152. set_property SLEW FAST [get_ports {ddram_dq[9]} ]
  153. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[9]} ]
  154. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[9]} ]
  155. # ## ddram:0.dq
  156. #set_property LOC U4 [get_ports {ddram_dq[10]} ]
  157. set_property SLEW FAST [get_ports {ddram_dq[10]} ]
  158. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[10]} ]
  159. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[10]} ]
  160. # ## ddram:0.dq
  161. #set_property LOC V5 [get_ports {ddram_dq[11]} ]
  162. set_property SLEW FAST [get_ports {ddram_dq[11]} ]
  163. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[11]} ]
  164. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[11]} ]
  165. # ## ddram:0.dq
  166. #set_property LOC V1 [get_ports {ddram_dq[12]} ]
  167. set_property SLEW FAST [get_ports {ddram_dq[12]} ]
  168. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[12]} ]
  169. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[12]} ]
  170. # ## ddram:0.dq
  171. #set_property LOC T3 [get_ports {ddram_dq[13]} ]
  172. set_property SLEW FAST [get_ports {ddram_dq[13]} ]
  173. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[13]} ]
  174. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[13]} ]
  175. # ## ddram:0.dq
  176. #set_property LOC U3 [get_ports {ddram_dq[14]} ]
  177. set_property SLEW FAST [get_ports {ddram_dq[14]} ]
  178. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[14]} ]
  179. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[14]} ]
  180. # ## ddram:0.dq
  181. #set_property LOC R3 [get_ports {ddram_dq[15]} ]
  182. set_property SLEW FAST [get_ports {ddram_dq[15]} ]
  183. set_property IOSTANDARD SSTL135 [get_ports {ddram_dq[15]} ]
  184. set_property IN_TERM UNTUNED_SPLIT_40 [get_ports {ddram_dq[15]} ]
  185. # ## ddram:0.dqs_p
  186. #set_property LOC N2 [get_ports {ddram_dqs_p[0]} ]
  187. set_property SLEW FAST [get_ports {ddram_dqs_p[0]} ]
  188. set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddram_dqs_p[0]} ]
  189. # ## ddram:0.dqs_p
  190. #set_property LOC U2 [get_ports {ddram_dqs_p[1]} ]
  191. set_property SLEW FAST [get_ports {ddram_dqs_p[1]} ]
  192. set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddram_dqs_p[1]} ]
  193. # ## ddram:0.dqs_n
  194. #set_property LOC N1 [get_ports {ddram_dqs_n[0]} ]
  195. set_property SLEW FAST [get_ports {ddram_dqs_n[0]} ]
  196. set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddram_dqs_n[0]} ]
  197. # ## ddram:0.dqs_n
  198. #set_property LOC V2 [get_ports {ddram_dqs_n[1]} ]
  199. set_property SLEW FAST [get_ports {ddram_dqs_n[1]} ]
  200. set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddram_dqs_n[1]} ]
  201. # ## ddram:0.clk_p
  202. #set_property LOC U9 [get_ports ddram_clk_p]
  203. set_property SLEW FAST [get_ports ddram_clk_p]
  204. set_property IOSTANDARD DIFF_SSTL135 [get_ports ddram_clk_p]
  205. # ## ddram:0.clk_n
  206. #set_property LOC V9 [get_ports ddram_clk_n]
  207. set_property SLEW FAST [get_ports ddram_clk_n]
  208. set_property IOSTANDARD DIFF_SSTL135 [get_ports ddram_clk_n]
  209. # ## ddram:0.cke
  210. #set_property LOC N5 [get_ports ddram_cke]
  211. set_property SLEW FAST [get_ports ddram_cke]
  212. set_property IOSTANDARD SSTL135 [get_ports ddram_cke]
  213. # ## ddram:0.odt
  214. #set_property LOC R5 [get_ports ddram_odt]
  215. set_property SLEW FAST [get_ports ddram_odt]
  216. set_property IOSTANDARD SSTL135 [get_ports ddram_odt]
  217. # ## ddram:0.reset_n
  218. #set_property LOC K6 [get_ports ddram_reset_n]
  219. set_property SLEW FAST [get_ports ddram_reset_n]
  220. set_property IOSTANDARD SSTL135 [get_ports ddram_reset_n]
  221.  
  222. set_property INTERNAL_VREF 0.675 [get_iobanks 34]
  223.  
  224. create_clock -name clk100 -period 10.0 [get_nets clk100]
  225. #
  226. #set_false_path -quiet -to [get_nets -quiet -filter {mr_ff == TRUE}]
  227. #
  228. #set_false_path -quiet -to [get_pins -quiet -filter {REF_PIN_NAME == PRE} -of [get_cells -quiet -filter {ars_ff1 == TRUE || ars_ff2 == TRUE}]} ]
  229. #
  230. #set_max_delay 2 -quiet -from [get_pins -quiet -filter {REF_PIN_NAME == Q} -of [get_cells -quiet -filter {ars_ff1 == TRUE}]} ] -to [get_pins -quiet -filter {REF_PIN_NAME == D} -of [get_cells -quiet -filter {ars_ff2 == TRUE}]} ]
Advertisement
Add Comment
Please, Sign In to add comment