Advertisement
vuk123456789

Untitled

Apr 28th, 2018
143
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 18.24 KB | None | 0 0
  1. ;# look in my book(s) or www.amos.eguru-il.com
  2. ;#####################################################
  3. ;# A template script for the assigning the DE1 board #
  4. ;# Choosing: device, pins, and making design safer ! #
  5. ;# Written by: Amos Zaslavsky (C)copyright - Ver 3.0 #
  6. ;#####################################################
  7. puts \n
  8. if {![is_project_open]} {
  9. puts "========================================"
  10. puts " ERROR: Assignments can not be done, "
  11. puts " because there isn't any project open ! "
  12. puts "========================================"
  13. } else {
  14. puts "================================="
  15. puts " Assigning the DE1 device & pins "
  16. puts " ... "
  17.  
  18. ;#################
  19. ;# Clock Signals #
  20. ;#################
  21.  
  22. set_location_assignment PIN_L1 -to clk
  23. # set_location_assignment PIN_L1 -to CLOCK_50#
  24.  
  25. # set_location_assignment PIN_D12 -to CLOCK_27[0]
  26. # set_location_assignment PIN_E12 -to CLOCK_27[1]
  27. # set_location_assignment PIN_B12 -to CLOCK_24[0]
  28. # set_location_assignment PIN_A12 -to CLOCK_24[1]
  29.  
  30. # set_location_assignment PIN_M21 -to EXT_CLOCK
  31.  
  32. ;#############################################
  33. ;# Debounced Push-Buttons ('0' when pressed) #
  34. ;#############################################
  35.  
  36. # set_location_assignment PIN_R22 -to KEY[0] ;# KEY[0]
  37. # set_location_assignment PIN_R21 -to KEY[1] ;# KEY[1]
  38. # set_location_assignment PIN_T22 -to KEY[2] ;# KEY[2]
  39. # set_location_assignment PIN_T21 -to KEY[3] ;# KEY[3]
  40.  
  41. ;################################
  42. ;# Slide Switches ('1' when up) #
  43. ;################################
  44.  
  45. set_location_assignment PIN_L22 -to reset ;# SW0
  46. # set_location_assignment PIN_L21 -to SW[1] ;# SW1
  47. # set_location_assignment PIN_M22 -to SW[2] ;# SW2
  48. # set_location_assignment PIN_V12 -to SW[3] ;# SW3
  49. # set_location_assignment PIN_W12 -to SW[4] ;# SW4
  50. # set_location_assignment PIN_U12 -to SW[5] ;# SW5
  51. # set_location_assignment PIN_U11 -to SW[6] ;# SW6
  52. # set_location_assignment PIN_M2 -to SW[7] ;# SW7
  53. # set_location_assignment PIN_M1 -to SW[8] ;# SW8
  54. # set_location_assignment PIN_L2 -to SW[9] ;# SW9
  55.  
  56. ;################
  57. ;# 8 Green LEDs #
  58. ;################
  59.  
  60. # set_location_assignment PIN_U22 -to LEDG[0] ;# LEDG[0]
  61. # set_location_assignment PIN_U21 -to LEDG[1] ;# LEDG[1]
  62. # set_location_assignment PIN_V22 -to LEDG[2] ;# LEDG[2]
  63. # set_location_assignment PIN_V21 -to LEDG[3] ;# LEDG[3]
  64. # set_location_assignment PIN_W22 -to LEDG[4] ;# LEDG[4]
  65. # set_location_assignment PIN_W21 -to LEDG[5] ;# LEDG[5]
  66. # set_location_assignment PIN_Y22 -to LEDG[6] ;# LEDG[6]
  67. # set_location_assignment PIN_Y21 -to LEDG[7] ;# LEDG[7]
  68.  
  69. ;###############
  70. ;# 10 red LEDs #
  71. ;###############
  72.  
  73. set_location_assignment PIN_R20 -to diode ;# LEDR[0]
  74. # set_location_assignment PIN_R19 -to LEDR[1] ;# LEDR[1]
  75. # set_location_assignment PIN_U19 -to LEDR[2] ;# LEDR[2]
  76. # set_location_assignment PIN_Y19 -to LEDR[3] ;# LEDR[3]
  77. # set_location_assignment PIN_T18 -to LEDR[4] ;# LEDR[4]
  78. # set_location_assignment PIN_V19 -to LEDR[5] ;# LEDR[5]
  79. # set_location_assignment PIN_Y18 -to LEDR[6] ;# LEDR[6]
  80. # set_location_assignment PIN_U18 -to LEDR[7] ;# LEDR[7]
  81. # set_location_assignment PIN_R18 -to LEDR[8] ;# LEDR[8]
  82. # set_location_assignment PIN_R17 -to LEDR[9] ;# LEDR[9]
  83.  
  84. ;###############################
  85. ;# 7 * Seven Segments #
  86. ;# a=6 b=5 c=4 d=3 e=2 f=1 g=0 #
  87. ;# DP is not connected ! #
  88. ;# Active low (ON when '0') #
  89. ;###############################
  90.  
  91. # set_location_assignment PIN_E2 -to HEX0S[6] ;# a HEX0S[6]
  92. # set_location_assignment PIN_F1 -to HEX0S[5] ;# b HEX0S[5]
  93. # set_location_assignment PIN_F2 -to HEX0S[4] ;# c HEX0S[4]
  94. # set_location_assignment PIN_H1 -to HEX0S[3] ;# d HEX0S[3]
  95. # set_location_assignment PIN_H2 -to HEX0S[2] ;# e HEX0S[2]
  96. # set_location_assignment PIN_J1 -to HEX0S[1] ;# f HEX0S[1]
  97. # set_location_assignment PIN_J2 -to HEX0S[0] ;# g HEX0S[0]
  98.  
  99. # set_location_assignment PIN_D1 -to HEX1S[6] ;# a HEX1S[6]
  100. # set_location_assignment PIN_D2 -to HEX1S[5] ;# b HEX1S[5]
  101. # set_location_assignment PIN_G3 -to HEX1S[4] ;# c HEX1S[4]
  102. # set_location_assignment PIN_H4 -to HEX1S[3] ;# d HEX1S[3]
  103. # set_location_assignment PIN_H5 -to HEX1S[2] ;# e HEX1S[2]
  104. # set_location_assignment PIN_H6 -to HEX1S[1] ;# f HEX1S[1]
  105. # set_location_assignment PIN_E1 -to HEX1S[0] ;# g HEX1S[0]
  106.  
  107. # set_location_assignment PIN_D3 -to HEX2S[6] ;# a HEX2S[6]
  108. # set_location_assignment PIN_E4 -to HEX2S[5] ;# b HEX2S[5]
  109. # set_location_assignment PIN_E3 -to HEX2S[4] ;# c HEX2S[4]
  110. # set_location_assignment PIN_C1 -to HEX2S[3] ;# d HEX2S[3]
  111. # set_location_assignment PIN_C2 -to HEX2S[2] ;# e HEX2S[2]
  112. # set_location_assignment PIN_G6 -to HEX2S[1] ;# f HEX2S[1]
  113. # set_location_assignment PIN_G5 -to HEX2S[0] ;# g HEX2S[0]
  114.  
  115. # set_location_assignment PIN_D4 -to HEX3[6] ;# a HEX3S[6]
  116. # set_location_assignment PIN_F3 -to HEX3[5] ;# b HEX3S[5]
  117. # set_location_assignment PIN_L8 -to HEX3[4] ;# c HEX3S[4]
  118. # set_location_assignment PIN_J4 -to HEX3[3] ;# d HEX3S[3]
  119. # set_location_assignment PIN_D6 -to HEX3[2] ;# e HEX3S[2]
  120. # set_location_assignment PIN_D5 -to HEX3[1] ;# f HEX3S[1]
  121. # set_location_assignment PIN_F4 -to HEX3[0] ;# g HEX3S[0]
  122.  
  123. ;##################################
  124. ;# UART (serial port) pin signals #
  125. ;##################################
  126.  
  127. # set_location_assignment PIN_F14 -to RX
  128. #set_location_assignment PIN_G12 -to TX
  129.  
  130. ;#################
  131. ;## Audio CODEC ##
  132. ;#################
  133.  
  134. # set_location_assignment PIN_A6 -to AUD_ADCLRCK
  135. # set_location_assignment PIN_B6 -to AUD_ADCDAT
  136. # set_location_assignment PIN_A5 -to AUD_DACLRCK
  137. # set_location_assignment PIN_B5 -to AUD_DACDAT
  138. # set_location_assignment PIN_B4 -to AUD_XCK
  139. # set_location_assignment PIN_A4 -to AUD_BCLK
  140.  
  141. ;#####################
  142. ;# I2C control lines #
  143. ;#####################
  144.  
  145. # set_location_assignment PIN_A3 -to I2C_SCLK
  146. # set_location_assignment PIN_B3 -to I2C_SDAT
  147.  
  148. ;#################
  149. ;# VGA interface #
  150. ;#################
  151.  
  152. # set_location_assignment PIN_D9 -to RED[0]
  153. # set_location_assignment PIN_C9 -to RED[1]
  154. # set_location_assignment PIN_A7 -to RED[2]
  155. # set_location_assignment PIN_B7 -to RED[3]
  156. # set_location_assignment PIN_B8 -to GREEN[0]
  157. # set_location_assignment PIN_C10 -to GREEN[1]
  158. # set_location_assignment PIN_B9 -to GREEN[2]
  159. # set_location_assignment PIN_A8 -to GREEN[3]
  160. # set_location_assignment PIN_A9 -to BLUE[0]
  161. # set_location_assignment PIN_D11 -to BLUE[1]
  162. # set_location_assignment PIN_A10 -to BLUE[2]
  163. # set_location_assignment PIN_B10 -to BLUE[3]
  164. # set_location_assignment PIN_A11 -to HORIZ_SYNC
  165. # set_location_assignment PIN_B11 -to VERT_SYNC
  166.  
  167. ;#################
  168. ;# PS2 interface #
  169. ;#################
  170.  
  171. # set_location_assignment PIN_H15 -to PS2_CLK
  172. # set_location_assignment PIN_J14 -to PS2_DAT
  173.  
  174. ;#####################
  175. ;# SD Card interface #
  176. ;#####################
  177.  
  178. # set_location_assignment PIN_V20 -to SD_CLK
  179. # set_location_assignment PIN_Y20 -to SD_CMD
  180. # set_location_assignment PIN_W20 -to SD_DAT
  181. # set_location_assignment PIN_U20 -to SD_DAT3
  182.  
  183. ;########
  184. ;# SRAM #
  185. ;########
  186. ;# SRAM address
  187. # set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
  188. # set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
  189. # set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
  190. # set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
  191. # set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
  192. # set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
  193. # set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
  194. # set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
  195. # set_location_assignment PIN_V11 -to SRAM_ADDR[8]
  196. # set_location_assignment PIN_W11 -to SRAM_ADDR[9]
  197. # set_location_assignment PIN_R11 -to SRAM_ADDR[10]
  198. # set_location_assignment PIN_T11 -to SRAM_ADDR[11]
  199. # set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
  200. # set_location_assignment PIN_U10 -to SRAM_ADDR[13]
  201. # set_location_assignment PIN_R10 -to SRAM_ADDR[14]
  202. # set_location_assignment PIN_T7 -to SRAM_ADDR[15]
  203. # set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
  204. # set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
  205. ;# SRAM data
  206. # set_location_assignment PIN_AA6 -to SRAM_DQ[0]
  207. # set_location_assignment PIN_AB6 -to SRAM_DQ[1]
  208. # set_location_assignment PIN_AA7 -to SRAM_DQ[2]
  209. # set_location_assignment PIN_AB7 -to SRAM_DQ[3]
  210. # set_location_assignment PIN_AA8 -to SRAM_DQ[4]
  211. # set_location_assignment PIN_AB8 -to SRAM_DQ[5]
  212. # set_location_assignment PIN_AA9 -to SRAM_DQ[6]
  213. # set_location_assignment PIN_AB9 -to SRAM_DQ[7]
  214. # set_location_assignment PIN_Y9 -to SRAM_DQ[8]
  215. # set_location_assignment PIN_W9 -to SRAM_DQ[9]
  216. # set_location_assignment PIN_V9 -to SRAM_DQ[10]
  217. # set_location_assignment PIN_U9 -to SRAM_DQ[11]
  218. # set_location_assignment PIN_R9 -to SRAM_DQ[12]
  219. # set_location_assignment PIN_W8 -to SRAM_DQ[13]
  220. # set_location_assignment PIN_V8 -to SRAM_DQ[14]
  221. # set_location_assignment PIN_U8 -to SRAM_DQ[15]
  222. ;# SRAM controls
  223. # set_location_assignment PIN_AB5 -to SRAM_CE_N
  224. # set_location_assignment PIN_Y7 -to SRAM_LB_N
  225. # set_location_assignment PIN_T8 -to SRAM_OE_N
  226. # set_location_assignment PIN_W7 -to SRAM_UB_N
  227. # set_location_assignment PIN_AA10 -to SRAM_WE_N
  228.  
  229. ;#########
  230. ;# SDRAM #
  231. ;#########
  232. ;# SDRASM address
  233. # set_location_assignment PIN_W4 -to DRAM_ADDR[0]
  234. # set_location_assignment PIN_W5 -to DRAM_ADDR[1]
  235. # set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
  236. # set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
  237. # set_location_assignment PIN_R6 -to DRAM_ADDR[4]
  238. # set_location_assignment PIN_R5 -to DRAM_ADDR[5]
  239. # set_location_assignment PIN_P6 -to DRAM_ADDR[6]
  240. # set_location_assignment PIN_P5 -to DRAM_ADDR[7]
  241. # set_location_assignment PIN_P3 -to DRAM_ADDR[8]
  242. # set_location_assignment PIN_N4 -to DRAM_ADDR[9]
  243. # set_location_assignment PIN_W3 -to DRAM_ADDR[10]
  244. # set_location_assignment PIN_N6 -to DRAM_ADDR[11]
  245. ;# SDRASM data
  246. # set_location_assignment PIN_U1 -to DRAM_DQ[0]
  247. # set_location_assignment PIN_U2 -to DRAM_DQ[1]
  248. # set_location_assignment PIN_V1 -to DRAM_DQ[2]
  249. # set_location_assignment PIN_V2 -to DRAM_DQ[3]
  250. # set_location_assignment PIN_W1 -to DRAM_DQ[4]
  251. # set_location_assignment PIN_W2 -to DRAM_DQ[5]
  252. # set_location_assignment PIN_Y1 -to DRAM_DQ[6]
  253. # set_location_assignment PIN_Y2 -to DRAM_DQ[7]
  254. # set_location_assignment PIN_N1 -to DRAM_DQ[8]
  255. # set_location_assignment PIN_N2 -to DRAM_DQ[9]
  256. # set_location_assignment PIN_P1 -to DRAM_DQ[10]
  257. # set_location_assignment PIN_P2 -to DRAM_DQ[11]
  258. # set_location_assignment PIN_R1 -to DRAM_DQ[12]
  259. # set_location_assignment PIN_R2 -to DRAM_DQ[13]
  260. # set_location_assignment PIN_T1 -to DRAM_DQ[14]
  261. # set_location_assignment PIN_T2 -to DRAM_DQ[15]
  262. ;# SDRASM controls
  263. # set_location_assignment PIN_U3 -to DRAM_BA_0
  264. # set_location_assignment PIN_V4 -to DRAM_BA_1
  265. # set_location_assignment PIN_T3 -to DRAM_CAS_N
  266. # set_location_assignment PIN_N3 -to DRAM_CKE
  267. # set_location_assignment PIN_U4 -to DRAM_CLK
  268. # set_location_assignment PIN_T6 -to DRAM_CS_N
  269. # set_location_assignment PIN_R7 -to DRAM_LDQM
  270. # set_location_assignment PIN_T5 -to DRAM_RAS_N
  271. # set_location_assignment PIN_M5 -to DRAM_UDQM
  272. # set_location_assignment PIN_R8 -to DRAM_WE_N
  273.  
  274. ;################
  275. ;# FLASH Memory #
  276. ;################
  277. ;# FLASH address
  278. # set_location_assignment PIN_AB20 -to FL_ADDR[0]
  279. # set_location_assignment PIN_AA14 -to FL_ADDR[1]
  280. # set_location_assignment PIN_Y16 -to FL_ADDR[2]
  281. # set_location_assignment PIN_R15 -to FL_ADDR[3]
  282. # set_location_assignment PIN_T15 -to FL_ADDR[4]
  283. # set_location_assignment PIN_U15 -to FL_ADDR[5]
  284. # set_location_assignment PIN_V15 -to FL_ADDR[6]
  285. # set_location_assignment PIN_W15 -to FL_ADDR[7]
  286. # set_location_assignment PIN_R14 -to FL_ADDR[8]
  287. # set_location_assignment PIN_Y13 -to FL_ADDR[9]
  288. # set_location_assignment PIN_R12 -to FL_ADDR[10]
  289. # set_location_assignment PIN_T12 -to FL_ADDR[11]
  290. # set_location_assignment PIN_AB14 -to FL_ADDR[12]
  291. # set_location_assignment PIN_AA13 -to FL_ADDR[13]
  292. # set_location_assignment PIN_AB13 -to FL_ADDR[14]
  293. # set_location_assignment PIN_AA12 -to FL_ADDR[15]
  294. # set_location_assignment PIN_AB12 -to FL_ADDR[16]
  295. # set_location_assignment PIN_AA20 -to FL_ADDR[17]
  296. # set_location_assignment PIN_U14 -to FL_ADDR[18]
  297. # set_location_assignment PIN_V14 -to FL_ADDR[19]
  298. # set_location_assignment PIN_U13 -to FL_ADDR[20]
  299. # set_location_assignment PIN_R13 -to FL_ADDR[21]
  300. # set_location_assignment PIN_AB16 -to FL_DQ[0]
  301. # set_location_assignment PIN_AA16 -to FL_DQ[1]
  302. # set_location_assignment PIN_AB17 -to FL_DQ[2]
  303. # set_location_assignment PIN_AA17 -to FL_DQ[3]
  304. # set_location_assignment PIN_AB18 -to FL_DQ[4]
  305. # set_location_assignment PIN_AA18 -to FL_DQ[5]
  306. # set_location_assignment PIN_AB19 -to FL_DQ[6]
  307. # set_location_assignment PIN_AA19 -to FL_DQ[7]
  308. # set_location_assignment PIN_AA15 -to FL_OE_N
  309. # set_location_assignment PIN_W14 -to FL_RST_N
  310. # set_location_assignment PIN_Y14 -to FL_WE_N
  311.  
  312. ;#####################################
  313. ;# JP1 - the left connector (GPIO 0) #
  314. ;#####################################
  315.  
  316. # set_location_assignment PIN_A13 -to GPIO_0[0]
  317. # set_location_assignment PIN_B13 -to GPIO_0[1]
  318. # set_location_assignment PIN_A14 -to GPIO_0[2]
  319. # set_location_assignment PIN_B14 -to GPIO_0[3]
  320. # set_location_assignment PIN_A15 -to GPIO_0[4]
  321. # set_location_assignment PIN_B15 -to GPIO_0[5]
  322. # set_location_assignment PIN_A16 -to GPIO_0[6]
  323. # set_location_assignment PIN_B16 -to GPIO_0[7]
  324. # set_location_assignment PIN_A17 -to GPIO_0[8]
  325. # set_location_assignment PIN_B17 -to GPIO_0[9]
  326. # set_location_assignment PIN_A18 -to GPIO_0[10]
  327. # set_location_assignment PIN_B18 -to GPIO_0[11]
  328. # set_location_assignment PIN_A19 -to GPIO_0[12]
  329. # set_location_assignment PIN_B19 -to GPIO_0[13]
  330. # set_location_assignment PIN_A20 -to GPIO_0[14]
  331. # set_location_assignment PIN_B20 -to GPIO_0[15]
  332. # set_location_assignment PIN_C21 -to GPIO_0[16]
  333. # set_location_assignment PIN_C22 -to GPIO_0[17]
  334. # set_location_assignment PIN_D21 -to GPIO_0[18]
  335. # set_location_assignment PIN_D22 -to GPIO_0[19]
  336. # set_location_assignment PIN_E21 -to GPIO_0[20]
  337. # set_location_assignment PIN_E22 -to GPIO_0[21]
  338. # set_location_assignment PIN_F21 -to GPIO_0[22]
  339. # set_location_assignment PIN_F22 -to GPIO_0[23]
  340. # set_location_assignment PIN_G21 -to GPIO_0[24]
  341. # set_location_assignment PIN_G22 -to GPIO_0[25]
  342. # set_location_assignment PIN_J21 -to GPIO_0[26]
  343. # set_location_assignment PIN_J22 -to GPIO_0[27]
  344. # set_location_assignment PIN_K21 -to GPIO_0[28]
  345. # set_location_assignment PIN_K22 -to GPIO_0[29]
  346. # set_location_assignment PIN_J19 -to GPIO_0[30]
  347. # set_location_assignment PIN_J20 -to GPIO_0[31]
  348. # set_location_assignment PIN_J18 -to GPIO_0[32]
  349. # set_location_assignment PIN_K20 -to GPIO_0[33]
  350. # set_location_assignment PIN_L19 -to GPIO_0[34]
  351. # set_location_assignment PIN_L18 -to GPIO_0[35]
  352.  
  353. ;######################################
  354. ;# JP2 - the right connector (GPIO 1) #
  355. ;######################################
  356.  
  357. set_location_assignment PIN_H12 -to TX
  358. set_location_assignment PIN_H13 -to RX
  359. # set_location_assignment PIN_H14 -to GPIO_1[2]
  360. # set_location_assignment PIN_G15 -to GPIO_1[3]
  361. # set_location_assignment PIN_E14 -to GPIO_1[4]
  362. # set_location_assignment PIN_E15 -to GPIO_1[5]
  363. # set_location_assignment PIN_F15 -to GPIO_1[6]
  364. # set_location_assignment PIN_G16 -to GPIO_1[7]
  365. # set_location_assignment PIN_F12 -to GPIO_1[8]
  366. # set_location_assignment PIN_F13 -to GPIO_1[9]
  367. # set_location_assignment PIN_C14 -to GPIO_1[10]
  368. # set_location_assignment PIN_D14 -to GPIO_1[11]
  369. # set_location_assignment PIN_D15 -to GPIO_1[12]
  370. # set_location_assignment PIN_D16 -to GPIO_1[13]
  371. # set_location_assignment PIN_C17 -to GPIO_1[14]
  372. # set_location_assignment PIN_C18 -to GPIO_1[15]
  373. # set_location_assignment PIN_C19 -to GPIO_1[16]
  374. # set_location_assignment PIN_C20 -to GPIO_1[17]
  375. # set_location_assignment PIN_D19 -to GPIO_1[18]
  376. # set_location_assignment PIN_D20 -to GPIO_1[19]
  377. # set_location_assignment PIN_E20 -to GPIO_1[20]
  378. # set_location_assignment PIN_F20 -to GPIO_1[21]
  379. # set_location_assignment PIN_E19 -to GPIO_1[22]
  380. # set_location_assignment PIN_E18 -to GPIO_1[23]
  381. # set_location_assignment PIN_G20 -to GPIO_1[24]
  382. # set_location_assignment PIN_G18 -to GPIO_1[25]
  383. # set_location_assignment PIN_G17 -to GPIO_1[26]
  384. # set_location_assignment PIN_H17 -to GPIO_1[27]
  385. # set_location_assignment PIN_J15 -to GPIO_1[28]
  386. # set_location_assignment PIN_H18 -to GPIO_1[29]
  387. # set_location_assignment PIN_N22 -to GPIO_1[30]
  388. # set_location_assignment PIN_N21 -to GPIO_1[31]
  389. # set_location_assignment PIN_P15 -to GPIO_1[32]
  390. # set_location_assignment PIN_N15 -to GPIO_1[33]
  391. # set_location_assignment PIN_P17 -to GPIO_1[34]
  392. # set_location_assignment PIN_P18 -to GPIO_1[35]
  393.  
  394. ;#############
  395. ;# JTAG pins #
  396. ;#############
  397.  
  398. # set_location_assignment PIN_E8 -to TDI
  399. # set_location_assignment PIN_D8 -to TCS
  400. # set_location_assignment PIN_C7 -to TCK
  401. # set_location_assignment PIN_D7 -to TDO
  402.  
  403. ;##############################################
  404. ;# Device selection & Making connections safe #
  405. ;##############################################
  406. ;# Analysis & Synthesis Assignments
  407. set_global_assignment -name FAMILY "Cyclone II"
  408. set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
  409. set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
  410. set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
  411. ;# fitter assignments
  412. set_global_assignment -name DEVICE "EP2C20F484C7"
  413. set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
  414.  
  415. set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
  416. set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
  417.  
  418. puts " And making the design safer ! "
  419. puts "================================="
  420.  
  421. ;# run compilation after pin assignment
  422. # load_package flow
  423. # execute_flow -compile
  424. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement