Advertisement
f74096255

Untitled

Oct 27th, 2021
746
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module testbench;
  2.  
  3.     reg x,y,c_in;
  4.  
  5.     wire s,c;
  6.  
  7.     FA adder(.x(x),.y(y),.c_in(c_in),.sum(s),.c_out(c));
  8.     initial begin
  9.         x = 0;
  10.         y = 0;
  11.         c_in = 0;
  12.  
  13.         #5
  14.  
  15.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  16.  
  17.         #20
  18.  
  19.         x = 1;
  20.         y = 0;
  21.         c_in = 0;
  22.  
  23.         #5
  24.  
  25.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  26.  
  27.         #20
  28.         x = 0;
  29.         y = 1;
  30.         c_in = 0;
  31.  
  32.         #5
  33.  
  34.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  35.  
  36.         #20
  37.  
  38.         x = 1;
  39.         y = 1;
  40.         c_in = 0;
  41.  
  42.         #5
  43.  
  44.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  45.  
  46.         #20
  47.  
  48.         x = 0;
  49.         y = 0;
  50.         c_in = 1;
  51.  
  52.         #5
  53.  
  54.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  55.  
  56.         #20
  57.  
  58.         x = 1;
  59.         y = 0;
  60.         c_in = 1;
  61.  
  62.         #5
  63.  
  64.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  65.  
  66.         #20
  67.  
  68.         x = 0;
  69.         y = 1;
  70.         c_in = 1;
  71.  
  72.         #5
  73.  
  74.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  75.  
  76.         #20
  77.  
  78.         x = 1;
  79.         y = 1;
  80.         c_in = 1;
  81.  
  82.         #5
  83.  
  84.         $display ("X = %d , Y = %d , C_in = %d , C_out = %d , S = %d\n",x,y,c_in,c,s);
  85.  
  86.         $finish;
  87.  
  88.     end
  89.  
  90. endmodule
  91.  
  92.  
  93. module HA(a, b, sum, carry);
  94.  
  95. input a, b;
  96.  
  97. output sum, carry;
  98.  
  99. reg sum, carry;
  100.  
  101. always@(a or b)
  102.  
  103. begin
  104.  
  105.     sum = a^b;
  106.  
  107.     carry = a&b;
  108.  
  109. end
  110.  
  111. endmodule
  112.  
  113.  
  114. module FA(x,y,c_in,sum,c_out);
  115.  
  116.     input x,y,c_in;
  117.  
  118.     output sum,c_out;
  119.  
  120.     /* modify the code here*/
  121.  
  122. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement