Advertisement
Guest User

Untitled

a guest
Mar 31st, 2020
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.18 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.std_logic_1164.ALL;
  3.  
  4. ENTITY encoder_tb IS
  5. END ENTITY encoder_tb;
  6.  
  7. ARCHITECTURE structural OF encodertb IS
  8. COMPONENT encoder IS
  9. PORT (
  10. clk : IN std_logic; -- clock
  11. reset : IN std_logic; -- async reset
  12. start : IN std_logic; -- start signal
  13. x : IN std_logic_vector (7 DOWNTO 0); -- input
  14. y : OUT std_logic_vector (7 DOWNTO 0); -- output
  15. ready : OUT std_logic -- ready signal
  16. );
  17. END COMPONENT encoder;
  18.  
  19. SIGNAL clk, reset : std_logic;
  20. SIGNAL start : std_logic;
  21. SIGNAL ready : std_logic;
  22. SIGNAL x : std_logic_vector(7 DOWNTO 0);
  23. SIGNAL y : std_logic_vector(7 DOWNTO 0);
  24.  
  25. BEGIN
  26. lbl1 : encoder PORT MAP(
  27. clk => clk,
  28. reset => reset,
  29. start => start,
  30. ready => ready,
  31. x => x,
  32. y => y
  33. );
  34.  
  35. -- 20 ns = 50 MHz
  36. clk <= '0' AFTER 0 ns,
  37. '1' AFTER 10 ns WHEN clk /= '1' ELSE
  38. '0' AFTER 10 ns;
  39.  
  40. reset <= '1' AFTER 0 ns,
  41. '0' AFTER 40 ns;
  42.  
  43. start <= '1' AFTER 0 ns,
  44. '0' AFTER 100 ns;
  45.  
  46. x <= "10101101" AFTER 0 ns;
  47.  
  48. END ARCHITECTURE structural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement