Advertisement
Guest User

Untitled

a guest
Jun 24th, 2017
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.56 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. ENTITY dek_4_16e IS PORT (
  4. E : IN Std_Logic;
  5. A : IN Std_Logic_Vector(3 downto 0);
  6. Y : OUT Std_Logic_Vector(0 to 15));
  7. END dek_4_16e;
  8.  
  9. ARCHITECTURE arhitektura OF dek_4_16e IS
  10. SIGNAL i_1 : Std_Logic_Vector(0 to 1); -- interni signali u prvom nivou
  11. SIGNAL i_2 : Std_Logic_Vector(0 to 3); -- interni signali u drugom nivou
  12. SIGNAL i_3 : Std_Logic_Vector(0 to 7); -- interni signali u trecem nivou
  13.  
  14. BEGIN
  15. sklop_1_0: ENTITY work.dek12e PORT MAP ( e, A(3), i_1(0), i_1(1) );
  16.  
  17. sklop_2_0: ENTITY work.dek12e PORT MAP ( i_1(0), A(2), i_2(0), i_2(1) );
  18. sklop_2_1: ENTITY work.dek12e PORT MAP ( i_1(1), A(2), i_2(2), i_2(3) );
  19.  
  20. sklop_3_0: ENTITY work.dek12e PORT MAP ( i_2(0), A(1), i_3(0), i_3(1) );
  21. sklop_3_1: ENTITY work.dek12e PORT MAP ( i_2(1), A(1), i_3(2), i_3(3) );
  22. sklop_3_2: ENTITY work.dek12e PORT MAP ( i_2(2), A(1), i_3(4), i_3(5) );
  23. sklop_3_3: ENTITY work.dek12e PORT MAP ( i_2(3), A(1), i_3(6), i_3(7) );
  24.  
  25. sklop_4_0: ENTITY work.dek12e PORT MAP ( i_3(0), A(0), Y(0), Y(1) );
  26. sklop_4_1: ENTITY work.dek12e PORT MAP ( i_3(1), A(0), Y(2), Y(3) );
  27. sklop_4_2: ENTITY work.dek12e PORT MAP ( i_3(2), A(0), Y(4), Y(5) );
  28. sklop_4_3: ENTITY work.dek12e PORT MAP ( i_3(3), A(0), Y(6), Y(7) );
  29. sklop_4_4: ENTITY work.dek12e PORT MAP ( i_3(4), A(0), Y(8), Y(9) );
  30. sklop_4_5: ENTITY work.dek12e PORT MAP ( i_3(5), A(0), Y(10), Y(11) );
  31. sklop_4_6: ENTITY work.dek12e PORT MAP ( i_3(6), A(0), Y(12), Y(13) );
  32. sklop_4_7: ENTITY work.dek12e PORT MAP ( i_3(7), A(0), Y(14), Y(15) );
  33. END arhitektura;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement