Advertisement
Guest User

Untitled

a guest
Nov 19th, 2019
421
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.93 KB | None | 0 0
  1. LIBRARY ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. ENTITY LAB_7_clocks IS
  5. PORT ( );
  6. END ENTITY;
  7.  
  8. ARCHITECTURE Teller OF LAB_7_clocks IS
  9.  
  10. BEGIN
  11.  
  12. eight_bit: counter GENERIC MAP ( n =>8 )PORT MAP eight_bit (clock, reset_n, Q);
  13.  
  14.  
  15. END Teller;
  16.  
  17.  
  18. LIBRARY ieee;
  19. USE ieee.std_logic_1164.all;
  20. USE ieee.std_logic_unsigned.all;
  21.  
  22. ENTITY counter IS
  23. GENERIC ( n : NATURAL := 8;
  24. K : NATURAL := 20);
  25.  
  26. PORT ( clock : IN STD_LOGIC;
  27. reset_n : IN STD_LOGIC;
  28. Q : OUT STD_LOGIC_VECTOR(n−1 DOWNTO 0);
  29. rollover: OUT std_LOGIC);
  30.  
  31. END ENTITY;
  32.  
  33. ARCHITECTURE Behavior OF counter IS
  34. SIGNAL value : STD_LOGIC_VECTOR(n−1 DOWNTO 0));
  35. BEGIN
  36. PROCESS (clock, reset_n)
  37. BEGIN
  38. IF (reset_n = ’0’)THEN
  39. value<= (OTHERS => ’0’);
  40. ELSIF ((clock’EVENT) AND (clock = 1 )) THEN
  41. IF (value > k) THEN
  42. value <=(OTHERS => ’0’);
  43. rollover <= 1;
  44. else
  45. value <= value + 1;
  46. end if;
  47. END IF;
  48. END PROCESS;
  49. Q<= value;
  50. END Behavior;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement