Guest User

Untitled

a guest
Jul 30th, 2018
105
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module DispANS(
  2.          input wire clkosc,
  3.          input wire [2:0] LED3, LED2, LED1, LED0,
  4.          output reg [27:0] Selectcolor,
  5.          output reg [2:0] leg_LED  
  6.                          );
  7.  
  8.          localparam N = 18;  
  9.          reg [N-1:0] q_regs;  
  10.          wire [N-1:0] q_next;  
  11.          reg [2:0] LED_in;
  12.    
  13.          always@(posedge clkosc)  
  14.             q_regs <= q_next;
  15.  
  16.          assign q_next = q_regs + 1;  
  17.  
  18.          always@*  
  19.             case(q_regs [N-1:N-2])
  20.                 0:
  21.                 begin  
  22.                     Selectcolor = 4'b0001;
  23.                     LED_in = LED0;  
  24.                 end  
  25.                 1:
  26.                 begin  
  27.                     Selectcolor = 4'b0010;
  28.                     LED_in = LED1;  
  29.                 end  
  30.                 2:  
  31.                 begin  
  32.                     Selectcolor = 4'b0100;
  33.                     LED_in = LED2;  
  34.                 end
  35.                 3:  
  36.                 begin  
  37.                     Selectcolor = 4'b1000;
  38.                     LED_in = LED3;  
  39.                 end
  40.             endcase
  41.            
  42.         always@*  
  43.             begin  
  44.                 case  (LED_in)
  45.                     3'b001:  leg_LED  [2:0]  =  3'b001;  
  46.                     3'b010:  leg_LED  [2:0]  =  3'b010;
  47.                     3'b100:  leg_LED  [2:0]  =  3'b100;
  48.                     3'b011:  leg_LED  [2:0]  =  3'b011;
  49.                     3'b101:  leg_LED  [2:0]  =  3'b101;
  50.                     3'b110:  leg_LED  [2:0]  =  3'b110;
  51.                     3'b000:  leg_LED  [2:0]  =  3'b000;
  52.                     default:   leg_LED [2:0]  =  3'b111;
  53.                 endcase  
  54.             end
  55.            
  56. endmodule
Add Comment
Please, Sign In to add comment