Advertisement
Guest User

Untitled

a guest
Jun 24th, 2019
68
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.20 KB | None | 0 0
  1. module testModule2(
  2. input iCLK,
  3. output reg oSig
  4. );
  5.  
  6. reg [23 :0] rCnt;
  7.  
  8. always @( posedge iCLK ) rCnt = rCnt + 1;
  9. if (rCnt[22]) rCnt = 24'hFFFFF
  10.  
  11. always oSig = rCnt[22];
  12.  
  13. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement