Advertisement
Guest User

Untitled

a guest
Nov 15th, 2018
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.71 KB | None | 0 0
  1. module test_unit_sva (
  2. input clk_i, rst_i, en_i,
  3. input [3:0] some_data_i,
  4. output [7:0] some_data_o
  5. );
  6. //counter is incremented at each posedge clock when en_i is asserted by the input value
  7. //some_data_i
  8. property incrementCnt;
  9. en_i |-> ##1 some_data_o == $past(some_data_i) + $past(some_data_o);
  10. endproperty
  11. //counter is reset at posedge rst
  12. property rstCnt;
  13. @(posedge (clk_i)) $rose(rst_i) |=> (some_data_o == 0);
  14. endproperty
  15. check_rstCnt_label: assert property (rstCnt)
  16. begin
  17. $display();
  18. end
  19. else $display ($time,,,"\t %m Failed reset init.!");
  20. incrementCnt_labe: assert property (@(posedge (clk_i)) disable iff (rst_i) incrementCnt)
  21. else $display ($time,,,"\t %m Failed counter increment");
  22. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement