Advertisement
Guest User

sjkdbfjkdsahfb

a guest
Jan 18th, 2020
80
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.32 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3. entity decoder2 is
  4. port(
  5. a : in STD_LOGIC_VECTOR(1 downto 0);
  6. b : out STD_LOGIC_VECTOR(3 downto 0)
  7. );
  8. end decoder2;
  9. architecture bhv of decoder2 is
  10. begin
  11. b(0) <= not a(0) and not a(1);
  12. b(1) <= not a(0) and a(1);
  13. b(2) <= a(0) and not a(1);
  14. b(3) <= a(0) and a(1);
  15. end bhv;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement