Guest User

Untitled

a guest
Jul 19th, 2018
77
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.56 KB | None | 0 0
  1. $display("input_data: %x,
  2. output_data: %x,
  3. result: %x",
  4. input_data,
  5. output_data,
  6. result);
  7.  
  8. module tb;
  9.  
  10. initial begin
  11. integer input_data = 1;
  12. integer output_data = 0;
  13. integer result = 55;
  14. $display("input_data: %x " , input_data,
  15. "output_data: %x " , output_data,
  16. "result: %x " , result);
  17. end
  18.  
  19. endmodule
  20.  
  21. /*
  22.  
  23. Outputs:
  24.  
  25. input_data: 00000001 output_data: 00000000 result: 00000037
  26.  
  27. */
  28.  
  29. string a;
  30. a = "This is multi line comment
  31. and this is second line";
  32.  
  33. /*
  34.  
  35. Outputs:
  36.  
  37. a = This is multi line comment^M
  38. and this is second line
  39.  
  40. */
  41.  
  42. string tmg ={" n" ,
  43. "//periodic signal intf.KEYCONTROL_CLK n" ,
  44. "forkn" ,
  45. " beginn" ,
  46. " the_clock = 0;n" ,
  47. " forever beginn" ,
  48. " if(the_clock == 0)n" ,
  49. " #(5000000/2 * 1ps);n" ,
  50. " elsen" ,
  51. " #((5000000-5000000/2) * 1ps);n" ,
  52. " the_clock=~the_clock;n" ,
  53. " endn" ,
  54. " endn" ,
  55. "join_nonen"};
  56.  
  57. `uvm_info("record_key_control_map_and_record", $sformatf("Start recording of interface if_record_key_control"), UVM_DEBUG);
  58. $fdisplay ( mcd0,tmg);
Add Comment
Please, Sign In to add comment