Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- $display("input_data: %x,
- output_data: %x,
- result: %x",
- input_data,
- output_data,
- result);
- module tb;
- initial begin
- integer input_data = 1;
- integer output_data = 0;
- integer result = 55;
- $display("input_data: %x " , input_data,
- "output_data: %x " , output_data,
- "result: %x " , result);
- end
- endmodule
- /*
- Outputs:
- input_data: 00000001 output_data: 00000000 result: 00000037
- */
- string a;
- a = "This is multi line comment
- and this is second line";
- /*
- Outputs:
- a = This is multi line comment^M
- and this is second line
- */
- string tmg ={" n" ,
- "//periodic signal intf.KEYCONTROL_CLK n" ,
- "forkn" ,
- " beginn" ,
- " the_clock = 0;n" ,
- " forever beginn" ,
- " if(the_clock == 0)n" ,
- " #(5000000/2 * 1ps);n" ,
- " elsen" ,
- " #((5000000-5000000/2) * 1ps);n" ,
- " the_clock=~the_clock;n" ,
- " endn" ,
- " endn" ,
- "join_nonen"};
- `uvm_info("record_key_control_map_and_record", $sformatf("Start recording of interface if_record_key_control"), UVM_DEBUG);
- $fdisplay ( mcd0,tmg);
Add Comment
Please, Sign In to add comment