Advertisement
Guest User

Snake - not snake

a guest
Mar 22nd, 2018
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module Snake
  2. (
  3. input [1:0] KEY , // keys
  4. output [9:0] LED , // leds
  5. output [7:0] HEX0, // displays
  6. output [7:0] HEX1,
  7. output [7:0] HEX2,
  8. output [7:0] HEX3,
  9. output [7:0] HEX4,
  10. output [7:0] HEX5
  11. );
  12.  
  13. genvar i;
  14.  
  15. generate
  16.     for (i=0; i <9; i=i+2)
  17.     begin : gen
  18.         assign LED [i]=~KEY [1];
  19.     end
  20. endgenerate
  21.  
  22. genvar j;
  23. generate
  24.     for (j=0; j <6; j=j+1)
  25.     begin : gen2
  26.         case (j)
  27.             0: assign HEX0 [4]=~KEY [0] ,
  28.                          HEX0 [5]=~KEY [0] ,
  29.                          HEX0 [7]=~KEY [0];
  30.             1: assign HEX1 [4]=~KEY [0] ,
  31.                          HEX1 [7]=~KEY [0];
  32.             2: assign HEX2 [4]=~KEY [0] ,
  33.                          HEX2 [5]=~KEY [0];
  34.             3: assign HEX3 [6]=~KEY [0] ,
  35.                          HEX3 [7]=~KEY [0];
  36.             4: assign HEX4 [6]=~KEY [0];
  37.             5: assign HEX5 [2]=~KEY [0] ,
  38.                          HEX5 [5]=~KEY [0] ,
  39.                          HEX5 [7]=~KEY [0];
  40.             endcase
  41.     end
  42. endgenerate
  43. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement