Advertisement
Guest User

Untitled

a guest
Dec 8th, 2019
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.23 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_unsigned.all;
  4.  
  5. entity zadatak1_tb is
  6. end entity;
  7.  
  8. architecture Test_tb of zadatak1_tb is
  9. signal sDEK:std_logic_vector(7 downto 0);
  10. signal sF:std_logic_vector(7 downto 0);
  11. signal sKOMP:std_logic_vector(7 downto 0);
  12. signal sAS:std_logic_vector(7 downto 0);
  13. signal sKOD:std_logic_vector(1 downto 0);
  14. signal sMUX:std_logic_vector(7 downto 0);
  15. signal sA:std_logic_vector(2 downto 0);
  16. signal sB:std_logic_vector(4 downto 0);
  17. signal sC:std_logic_vector(7 downto 0);
  18. signal sSEL:std_logic_vector(3 downto 0);
  19. signal sRESULT:std_logic_vector(7 downto 0);
  20.  
  21. component zadatak1 is
  22. port(
  23. iA:in std_logic_vector(2 downto 0);
  24. iB:in std_logic_vector(4 downto 0);
  25. iC:in std_logic_vector(7 downto 0);
  26. iSEL:in std_logic_vector(3 downto 0);
  27. oRESULT:out std_logic_vector(7 downto 0)
  28. );
  29. end component;
  30.  
  31. begin
  32.  
  33. uut: zadatak1 port map(
  34. iA => sA,
  35. iB => sB,
  36. iC => sC,
  37. iSEL => sSEL,
  38. oRESULT => sRESULT
  39. );
  40.  
  41. stimulus : process
  42. begin
  43. sA<="101";
  44. sB<="01100";
  45. sC<="01010101";
  46. wait for 100 ns;
  47.  
  48. sA<="011";
  49. sB<="00001";
  50. sC<="10000000";
  51. wait for 100 ns;
  52.  
  53. end process;
  54.  
  55.  
  56. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement