Guest User

Untitled

a guest
Jul 18th, 2018
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.25 KB | None | 0 0
  1. `default_nettype none
  2. //
  3. module test(i_clk, i_value, o_value);
  4. input wire i_clk;
  5. input wire [15:0] i_value;
  6. output reg [15:0] o_value;
  7.  
  8. wire [15:0] pre_value;
  9. assign pre_value = i_value + 1;
  10.  
  11. always @(posedge i_clk)
  12. o_value <= pre_value;
  13.  
  14. endmodule
Add Comment
Please, Sign In to add comment