Advertisement
Guest User

Untitled

a guest
Feb 8th, 2019
377
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
TCL 1.53 KB | None | 0 0
  1. set ssram_tco_min 1
  2. set ssram_tco_max 3
  3. set ssram_tsu 1.4
  4. set ssram_th 0.4
  5.  
  6. set_input_delay -clock [get_clocks {SSRAM_CL}] -min -add_delay -$ssram_th [get_ports {ssram_data[*]}]
  7. set_input_delay -clock [get_clocks {SSRAM_CL}] -max -add_delay $ssram_tsu [get_ports {ssram_data[*]}]
  8.  
  9. set_output_delay -clock [get_clocks {SSRAM_CL}] -min -add_delay $ssram_tco_min [get_ports {ssram_a_0[*]}]
  10. set_output_delay -clock [get_clocks {SSRAM_CL}] -max -add_delay $ssram_tco_max [get_ports {ssram_a_0[*]}]
  11. set_output_delay -clock [get_clocks {SSRAM_CL}] -min -add_delay $ssram_tco_min [get_ports {ssram_bw_0[*]}]
  12. set_output_delay -clock [get_clocks {SSRAM_CL}] -max -add_delay $ssram_tco_max [get_ports {ssram_bw_0[*]}]
  13. set_output_delay -clock [get_clocks {SSRAM_CL}] -min -add_delay $ssram_tco_min [get_ports {ssram_data[*]}]
  14. set_output_delay -clock [get_clocks {SSRAM_CL}] -max -add_delay $ssram_tco_max [get_ports {ssram_data[*]}]
  15. set_output_delay -clock [get_clocks {SSRAM_CL}] -min -add_delay $ssram_tco_min [get_ports {ssram_cen_0}]
  16. set_output_delay -clock [get_clocks {SSRAM_CL}] -max -add_delay $ssram_tco_max [get_ports {ssram_cen_0}]
  17. set_output_delay -clock [get_clocks {SSRAM_CL}] -min -add_delay $ssram_tco_min [get_ports {ssram_oen_0}]
  18. set_output_delay -clock [get_clocks {SSRAM_CL}] -max -add_delay $ssram_tco_max [get_ports {ssram_oen_0}]
  19. set_output_delay -clock [get_clocks {SSRAM_CL}] -min -add_delay $ssram_tco_min [get_ports {ssram_wn_0}]
  20. set_output_delay -clock [get_clocks {SSRAM_CL}] -max -add_delay $ssram_tco_max [get_ports {ssram_wn_0}]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement