Guest User

Untitled

a guest
Jun 24th, 2018
89
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.27 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2. module Gen_1Hz( input Clk_In, output Clk_Out );
  3. reg rClk_Out;
  4. reg [27:0] Counter;
  5. always@(posedge Clk_In) begin
  6. Counter <= Counter + 1'b1;
  7. if ( Counter == 25_000_000) begin
  8. Counter <= 0;
  9. rClk_Out <= ~rClk_Out;
  10. end
  11. end
  12. assign Clk_Out = rClk_Out;
  13. endmodule
Add Comment
Please, Sign In to add comment