Advertisement
pb_jiang

ABC303D WA

Jun 6th, 2023
146
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
C++ 1.54 KB | None | 0 0
  1. #include <assert.h>
  2. #include <bits/stdc++.h>
  3. using namespace std;
  4. #ifdef __DEBUG__
  5. #include "dbg.h"
  6. #else
  7. #define dbg(...) 42
  8. #endif
  9. template <class T> using mpq = priority_queue<T, vector<T>, greater<T>>;
  10.  
  11. using ll = long long;
  12. using pii = pair<int, int>;
  13. using pll = pair<ll, ll>;
  14. using vl = vector<ll>;
  15. using vi = vector<int>;
  16.  
  17. int main(int argc, char **argv)
  18. {
  19.     int w, h, n, a, b;
  20.     cin >> w >> h >> n;
  21.     using a2i = array<int, 2>;
  22.     vector<a2i> pts(n);
  23.     for (auto &pt : pts)
  24.         cin >> pt[1] >> pt[0];
  25.     sort(pts.begin(), pts.end());
  26.  
  27.     cin >> a;
  28.     vi as(a);
  29.     for (auto &x : as)
  30.         cin >> x;
  31.     cin >> b;
  32.     vi bs(b);
  33.     for (auto &x : bs)
  34.         cin >> x;
  35.  
  36.     as.push_back(INT_MAX);
  37.     bs.push_back(INT_MAX);
  38.     const int len = bs.size();
  39.     ll maxv = INT_MIN, minv = INT_MAX;
  40.  
  41.     for (int i = 0, j = 0, ny = as[i]; i < as.size(); ++i, ny = as[i]) {
  42.         int nb = 0;
  43.         ll block_cnt = 0;
  44.         while (j < n && pts[j][0] < ny) {
  45.             int pos = upper_bound(bs.begin(), bs.end(), pts[j][1]) - bs.begin();
  46.             while (j < n && pts[j][0] < ny && nb == pos) {
  47.                 ++block_cnt;
  48.                 ++j;
  49.                 pos = upper_bound(bs.begin(), bs.end(), pts[j][1]) - bs.begin();
  50.             }
  51.             minv = min(minv, block_cnt);
  52.             maxv = max(maxv, block_cnt);
  53.             if (pos - nb > 1)
  54.                 minv = 0;
  55.             nb = pos, block_cnt = 0;
  56.         }
  57.     }
  58.  
  59.     cout << minv << ' ' << maxv << endl;
  60.     return 0;
  61. };
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement