Advertisement
Prokas

Error

Mar 18th, 2019
136
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.01 KB | None | 0 0
  1. $ make numpro:default
  2. Making numpro with keymap default
  3.  
  4. avr-gcc.exe (AVR_8_bit_GNU_Toolchain_3.6.1_1752) 5.4.0
  5. Copyright (C) 2015 Free Software Foundation, Inc.
  6. This is free software; see the source for copying conditions. There is NO
  7. warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
  8.  
  9. Compiling: keyboards/numpro/numpro.c [OK]
  10. Compiling: keyboards/numpro/keymaps/default/keymap.c [OK]
  11. Compiling: quantum/quantum.c [OK]
  12. Compiling: quantum/keymap_common.c [OK]
  13. Compiling: quantum/keycode_config.c [OK]
  14. Compiling: quantum/matrix.c [OK]
  15. Compiling: tmk_core/common/host.c [OK]
  16. Compiling: tmk_core/common/keyboard.c [OK]
  17. Compiling: tmk_core/common/action.c [OK]
  18. Compiling: tmk_core/common/action_tapping.c [OK]
  19. Compiling: tmk_core/common/action_macro.c [OK]
  20. Compiling: tmk_core/common/action_layer.c [OK]
  21. Compiling: tmk_core/common/action_util.c [OK]
  22. Compiling: tmk_core/common/print.c [OK]
  23. Compiling: tmk_core/common/debug.c [OK]
  24. Compiling: tmk_core/common/util.c [OK]
  25. Compiling: tmk_core/common/eeconfig.c [OK]
  26. Compiling: tmk_core/common/report.c [OK]
  27. Compiling: tmk_core/common/avr/suspend.c [OK]
  28. Compiling: tmk_core/common/avr/timer.c [OK]
  29. Compiling: tmk_core/common/avr/bootloader.c [OK]
  30. Assembling: tmk_core/common/avr/xprintf.S [ERRORS]
  31. |
  32. | In file included from tmk_core/common/wait.h:11:0,
  33. | from quantum/quantum.h:27,
  34. | from ./keyboards/numpro/keymaps/default/config.h:19,
  35. | from <command-line>:0:
  36. | c:\msys64\home\proka\qmk_utils\avr8-gnu-toolchain\avr\include\util\delay.h:112:3: warning: #warning "Compiler optimizations disabled; functions from <util/delay.h> won't work as designed" [-Wcpp]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement