Guest User

Untitled

a guest
Jul 24th, 2018
107
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VeriLog 44.30 KB | None | 0 0
  1. `timescale 1 ns / 1 ns
  2.  
  3. module testbench ;
  4.  
  5.     //circuit params
  6.     parameter NINPUTS = 77, NOUTPUTS = 150, NKEY = 256;
  7.  
  8.     //define regs and wires
  9.     reg [0:NINPUTS-1] PI; //not including SI and SE
  10.     reg [0:NKEY-1] KEY;
  11.     reg [0:NKEY-1] TRN;
  12.     reg CLK, SI, SE;
  13.     wire [0:NOUTPUTS-1] PO; //not including SO
  14.     wire SO;
  15.  
  16.     //input wires
  17.     wire g100;
  18.     wire g101;
  19.     wire g102;
  20.     wire g103;
  21.     wire g104;
  22.     wire g109;
  23.     wire g1170;
  24.     wire g1173;
  25.     wire g1176;
  26.     wire g1179;
  27.     wire g1182;
  28.     wire g1185;
  29.     wire g1188;
  30.     wire g1191;
  31.     wire g1194;
  32.     wire g1197;
  33.     wire g1200;
  34.     wire g1203;
  35.     wire g1696;
  36.     wire g1700;
  37.     wire g1712;
  38.     wire g18;
  39.     wire g1960;
  40.     wire g1961;
  41.     wire g23;
  42.     wire g27;
  43.     wire g28;
  44.     wire g29;
  45.     wire g30;
  46.     wire g31;
  47.     wire g41;
  48.     wire g42;
  49.     wire g43;
  50.     wire g44;
  51.     wire g45;
  52.     wire g46;
  53.     wire g47;
  54.     wire g48;
  55.     wire g741;
  56.     wire g742;
  57.     wire g743;
  58.     wire g744;
  59.     wire g750;
  60.     wire g82;
  61.     wire g83;
  62.     wire g84;
  63.     wire g85;
  64.     wire g86;
  65.     wire g87;
  66.     wire g872;
  67.     wire g873;
  68.     wire g877;
  69.     wire g88;
  70.     wire g881;
  71.     wire g886;
  72.     wire g889;
  73.     wire g89;
  74.     wire g892;
  75.     wire g895;
  76.     wire g898;
  77.     wire g90;
  78.     wire g901;
  79.     wire g904;
  80.     wire g907;
  81.     wire g91;
  82.     wire g910;
  83.     wire g913;
  84.     wire g916;
  85.     wire g919;
  86.     wire g92;
  87.     wire g922;
  88.     wire g925;
  89.     wire g93;
  90.     wire g94;
  91.     wire g95;
  92.     wire g96;
  93.     wire g99;
  94.     wire CK;
  95.     wire test_si;
  96.     wire test_se;
  97.  
  98.     //key wires
  99.     wire key0;
  100.     wire key1;
  101.     wire key2;
  102.     wire key3;
  103.     wire key4;
  104.     wire key5;
  105.     wire key6;
  106.     wire key7;
  107.     wire key8;
  108.     wire key9;
  109.     wire key10;
  110.     wire key11;
  111.     wire key12;
  112.     wire key13;
  113.     wire key14;
  114.     wire key15;
  115.     wire key16;
  116.     wire key17;
  117.     wire key18;
  118.     wire key19;
  119.     wire key20;
  120.     wire key21;
  121.     wire key22;
  122.     wire key23;
  123.     wire key24;
  124.     wire key25;
  125.     wire key26;
  126.     wire key27;
  127.     wire key28;
  128.     wire key29;
  129.     wire key30;
  130.     wire key31;
  131.     wire key32;
  132.     wire key33;
  133.     wire key34;
  134.     wire key35;
  135.     wire key36;
  136.     wire key37;
  137.     wire key38;
  138.     wire key39;
  139.     wire key40;
  140.     wire key41;
  141.     wire key42;
  142.     wire key43;
  143.     wire key44;
  144.     wire key45;
  145.     wire key46;
  146.     wire key47;
  147.     wire key48;
  148.     wire key49;
  149.     wire key50;
  150.     wire key51;
  151.     wire key52;
  152.     wire key53;
  153.     wire key54;
  154.     wire key55;
  155.     wire key56;
  156.     wire key57;
  157.     wire key58;
  158.     wire key59;
  159.     wire key60;
  160.     wire key61;
  161.     wire key62;
  162.     wire key63;
  163.     wire key64;
  164.     wire key65;
  165.     wire key66;
  166.     wire key67;
  167.     wire key68;
  168.     wire key69;
  169.     wire key70;
  170.     wire key71;
  171.     wire key72;
  172.     wire key73;
  173.     wire key74;
  174.     wire key75;
  175.     wire key76;
  176.     wire key77;
  177.     wire key78;
  178.     wire key79;
  179.     wire key80;
  180.     wire key81;
  181.     wire key82;
  182.     wire key83;
  183.     wire key84;
  184.     wire key85;
  185.     wire key86;
  186.     wire key87;
  187.     wire key88;
  188.     wire key89;
  189.     wire key90;
  190.     wire key91;
  191.     wire key92;
  192.     wire key93;
  193.     wire key94;
  194.     wire key95;
  195.     wire key96;
  196.     wire key97;
  197.     wire key98;
  198.     wire key99;
  199.     wire key100;
  200.     wire key101;
  201.     wire key102;
  202.     wire key103;
  203.     wire key104;
  204.     wire key105;
  205.     wire key106;
  206.     wire key107;
  207.     wire key108;
  208.     wire key109;
  209.     wire key110;
  210.     wire key111;
  211.     wire key112;
  212.     wire key113;
  213.     wire key114;
  214.     wire key115;
  215.     wire key116;
  216.     wire key117;
  217.     wire key118;
  218.     wire key119;
  219.     wire key120;
  220.     wire key121;
  221.     wire key122;
  222.     wire key123;
  223.     wire key124;
  224.     wire key125;
  225.     wire key126;
  226.     wire key127;
  227.     wire key128;
  228.     wire key129;
  229.     wire key130;
  230.     wire key131;
  231.     wire key132;
  232.     wire key133;
  233.     wire key134;
  234.     wire key135;
  235.     wire key136;
  236.     wire key137;
  237.     wire key138;
  238.     wire key139;
  239.     wire key140;
  240.     wire key141;
  241.     wire key142;
  242.     wire key143;
  243.     wire key144;
  244.     wire key145;
  245.     wire key146;
  246.     wire key147;
  247.     wire key148;
  248.     wire key149;
  249.     wire key150;
  250.     wire key151;
  251.     wire key152;
  252.     wire key153;
  253.     wire key154;
  254.     wire key155;
  255.     wire key156;
  256.     wire key157;
  257.     wire key158;
  258.     wire key159;
  259.     wire key160;
  260.     wire key161;
  261.     wire key162;
  262.     wire key163;
  263.     wire key164;
  264.     wire key165;
  265.     wire key166;
  266.     wire key167;
  267.     wire key168;
  268.     wire key169;
  269.     wire key170;
  270.     wire key171;
  271.     wire key172;
  272.     wire key173;
  273.     wire key174;
  274.     wire key175;
  275.     wire key176;
  276.     wire key177;
  277.     wire key178;
  278.     wire key179;
  279.     wire key180;
  280.     wire key181;
  281.     wire key182;
  282.     wire key183;
  283.     wire key184;
  284.     wire key185;
  285.     wire key186;
  286.     wire key187;
  287.     wire key188;
  288.     wire key189;
  289.     wire key190;
  290.     wire key191;
  291.     wire key192;
  292.     wire key193;
  293.     wire key194;
  294.     wire key195;
  295.     wire key196;
  296.     wire key197;
  297.     wire key198;
  298.     wire key199;
  299.     wire key200;
  300.     wire key201;
  301.     wire key202;
  302.     wire key203;
  303.     wire key204;
  304.     wire key205;
  305.     wire key206;
  306.     wire key207;
  307.     wire key208;
  308.     wire key209;
  309.     wire key210;
  310.     wire key211;
  311.     wire key212;
  312.     wire key213;
  313.     wire key214;
  314.     wire key215;
  315.     wire key216;
  316.     wire key217;
  317.     wire key218;
  318.     wire key219;
  319.     wire key220;
  320.     wire key221;
  321.     wire key222;
  322.     wire key223;
  323.     wire key224;
  324.     wire key225;
  325.     wire key226;
  326.     wire key227;
  327.     wire key228;
  328.     wire key229;
  329.     wire key230;
  330.     wire key231;
  331.     wire key232;
  332.     wire key233;
  333.     wire key234;
  334.     wire key235;
  335.     wire key236;
  336.     wire key237;
  337.     wire key238;
  338.     wire key239;
  339.     wire key240;
  340.     wire key241;
  341.     wire key242;
  342.     wire key243;
  343.     wire key244;
  344.     wire key245;
  345.     wire key246;
  346.     wire key247;
  347.     wire key248;
  348.     wire key249;
  349.     wire key250;
  350.     wire key251;
  351.     wire key252;
  352.     wire key253;
  353.     wire key254;
  354.     wire key255;
  355.     wire trn0;
  356.     wire trn1;
  357.     wire trn2;
  358.     wire trn3;
  359.     wire trn4;
  360.     wire trn5;
  361.     wire trn6;
  362.     wire trn7;
  363.     wire trn8;
  364.     wire trn9;
  365.     wire trn10;
  366.     wire trn11;
  367.     wire trn12;
  368.     wire trn13;
  369.     wire trn14;
  370.     wire trn15;
  371.     wire trn16;
  372.     wire trn17;
  373.     wire trn18;
  374.     wire trn19;
  375.     wire trn20;
  376.     wire trn21;
  377.     wire trn22;
  378.     wire trn23;
  379.     wire trn24;
  380.     wire trn25;
  381.     wire trn26;
  382.     wire trn27;
  383.     wire trn28;
  384.     wire trn29;
  385.     wire trn30;
  386.     wire trn31;
  387.     wire trn32;
  388.     wire trn33;
  389.     wire trn34;
  390.     wire trn35;
  391.     wire trn36;
  392.     wire trn37;
  393.     wire trn38;
  394.     wire trn39;
  395.     wire trn40;
  396.     wire trn41;
  397.     wire trn42;
  398.     wire trn43;
  399.     wire trn44;
  400.     wire trn45;
  401.     wire trn46;
  402.     wire trn47;
  403.     wire trn48;
  404.     wire trn49;
  405.     wire trn50;
  406.     wire trn51;
  407.     wire trn52;
  408.     wire trn53;
  409.     wire trn54;
  410.     wire trn55;
  411.     wire trn56;
  412.     wire trn57;
  413.     wire trn58;
  414.     wire trn59;
  415.     wire trn60;
  416.     wire trn61;
  417.     wire trn62;
  418.     wire trn63;
  419.     wire trn64;
  420.     wire trn65;
  421.     wire trn66;
  422.     wire trn67;
  423.     wire trn68;
  424.     wire trn69;
  425.     wire trn70;
  426.     wire trn71;
  427.     wire trn72;
  428.     wire trn73;
  429.     wire trn74;
  430.     wire trn75;
  431.     wire trn76;
  432.     wire trn77;
  433.     wire trn78;
  434.     wire trn79;
  435.     wire trn80;
  436.     wire trn81;
  437.     wire trn82;
  438.     wire trn83;
  439.     wire trn84;
  440.     wire trn85;
  441.     wire trn86;
  442.     wire trn87;
  443.     wire trn88;
  444.     wire trn89;
  445.     wire trn90;
  446.     wire trn91;
  447.     wire trn92;
  448.     wire trn93;
  449.     wire trn94;
  450.     wire trn95;
  451.     wire trn96;
  452.     wire trn97;
  453.     wire trn98;
  454.     wire trn99;
  455.     wire trn100;
  456.     wire trn101;
  457.     wire trn102;
  458.     wire trn103;
  459.     wire trn104;
  460.     wire trn105;
  461.     wire trn106;
  462.     wire trn107;
  463.     wire trn108;
  464.     wire trn109;
  465.     wire trn110;
  466.     wire trn111;
  467.     wire trn112;
  468.     wire trn113;
  469.     wire trn114;
  470.     wire trn115;
  471.     wire trn116;
  472.     wire trn117;
  473.     wire trn118;
  474.     wire trn119;
  475.     wire trn120;
  476.     wire trn121;
  477.     wire trn122;
  478.     wire trn123;
  479.     wire trn124;
  480.     wire trn125;
  481.     wire trn126;
  482.     wire trn127;
  483.     wire trn128;
  484.     wire trn129;
  485.     wire trn130;
  486.     wire trn131;
  487.     wire trn132;
  488.     wire trn133;
  489.     wire trn134;
  490.     wire trn135;
  491.     wire trn136;
  492.     wire trn137;
  493.     wire trn138;
  494.     wire trn139;
  495.     wire trn140;
  496.     wire trn141;
  497.     wire trn142;
  498.     wire trn143;
  499.     wire trn144;
  500.     wire trn145;
  501.     wire trn146;
  502.     wire trn147;
  503.     wire trn148;
  504.     wire trn149;
  505.     wire trn150;
  506.     wire trn151;
  507.     wire trn152;
  508.     wire trn153;
  509.     wire trn154;
  510.     wire trn155;
  511.     wire trn156;
  512.     wire trn157;
  513.     wire trn158;
  514.     wire trn159;
  515.     wire trn160;
  516.     wire trn161;
  517.     wire trn162;
  518.     wire trn163;
  519.     wire trn164;
  520.     wire trn165;
  521.     wire trn166;
  522.     wire trn167;
  523.     wire trn168;
  524.     wire trn169;
  525.     wire trn170;
  526.     wire trn171;
  527.     wire trn172;
  528.     wire trn173;
  529.     wire trn174;
  530.     wire trn175;
  531.     wire trn176;
  532.     wire trn177;
  533.     wire trn178;
  534.     wire trn179;
  535.     wire trn180;
  536.     wire trn181;
  537.     wire trn182;
  538.     wire trn183;
  539.     wire trn184;
  540.     wire trn185;
  541.     wire trn186;
  542.     wire trn187;
  543.     wire trn188;
  544.     wire trn189;
  545.     wire trn190;
  546.     wire trn191;
  547.     wire trn192;
  548.     wire trn193;
  549.     wire trn194;
  550.     wire trn195;
  551.     wire trn196;
  552.     wire trn197;
  553.     wire trn198;
  554.     wire trn199;
  555.     wire trn200;
  556.     wire trn201;
  557.     wire trn202;
  558.     wire trn203;
  559.     wire trn204;
  560.     wire trn205;
  561.     wire trn206;
  562.     wire trn207;
  563.     wire trn208;
  564.     wire trn209;
  565.     wire trn210;
  566.     wire trn211;
  567.     wire trn212;
  568.     wire trn213;
  569.     wire trn214;
  570.     wire trn215;
  571.     wire trn216;
  572.     wire trn217;
  573.     wire trn218;
  574.     wire trn219;
  575.     wire trn220;
  576.     wire trn221;
  577.     wire trn222;
  578.     wire trn223;
  579.     wire trn224;
  580.     wire trn225;
  581.     wire trn226;
  582.     wire trn227;
  583.     wire trn228;
  584.     wire trn229;
  585.     wire trn230;
  586.     wire trn231;
  587.     wire trn232;
  588.     wire trn233;
  589.     wire trn234;
  590.     wire trn235;
  591.     wire trn236;
  592.     wire trn237;
  593.     wire trn238;
  594.     wire trn239;
  595.     wire trn240;
  596.     wire trn241;
  597.     wire trn242;
  598.     wire trn243;
  599.     wire trn244;
  600.     wire trn245;
  601.     wire trn246;
  602.     wire trn247;
  603.     wire trn248;
  604.     wire trn249;
  605.     wire trn250;
  606.     wire trn251;
  607.     wire trn252;
  608.     wire trn253;
  609.     wire trn254;
  610.     wire trn255;
  611.  
  612.     //output wires
  613.     wire g10377;
  614.     wire g10379;
  615.     wire g10455;
  616.     wire g10457;
  617.     wire g10459;
  618.     wire g10461;
  619.     wire g10463;
  620.     wire g10465;
  621.     wire g10628;
  622.     wire g10801;
  623.     wire g11163;
  624.     wire g11206;
  625.     wire g11489;
  626.     wire g1957;
  627.     wire g2355;
  628.     wire g2601;
  629.     wire g2602;
  630.     wire g2603;
  631.     wire g2604;
  632.     wire g2605;
  633.     wire g2606;
  634.     wire g2607;
  635.     wire g2608;
  636.     wire g2609;
  637.     wire g2610;
  638.     wire g2611;
  639.     wire g2612;
  640.     wire g2648;
  641.     wire g2986;
  642.     wire g3007;
  643.     wire g3069;
  644.     wire g3327;
  645.     wire g4171;
  646.     wire g4172;
  647.     wire g4173;
  648.     wire g4174;
  649.     wire g4175;
  650.     wire g4176;
  651.     wire g4177;
  652.     wire g4178;
  653.     wire g4179;
  654.     wire g4180;
  655.     wire g4181;
  656.     wire g4191;
  657.     wire g4192;
  658.     wire g4193;
  659.     wire g4194;
  660.     wire g4195;
  661.     wire g4196;
  662.     wire g4197;
  663.     wire g4198;
  664.     wire g4199;
  665.     wire g4200;
  666.     wire g4201;
  667.     wire g4202;
  668.     wire g4203;
  669.     wire g4204;
  670.     wire g4205;
  671.     wire g4206;
  672.     wire g4207;
  673.     wire g4208;
  674.     wire g4209;
  675.     wire g4210;
  676.     wire g4211;
  677.     wire g4212;
  678.     wire g4213;
  679.     wire g4214;
  680.     wire g4215;
  681.     wire g4216;
  682.     wire g4887;
  683.     wire g4888;
  684.     wire g5101;
  685.     wire g5105;
  686.     wire g5658;
  687.     wire g5659;
  688.     wire g5816;
  689.     wire g6253;
  690.     wire g6254;
  691.     wire g6255;
  692.     wire g6256;
  693.     wire g6257;
  694.     wire g6258;
  695.     wire g6259;
  696.     wire g6260;
  697.     wire g6261;
  698.     wire g6262;
  699.     wire g6263;
  700.     wire g6264;
  701.     wire g6265;
  702.     wire g6266;
  703.     wire g6267;
  704.     wire g6268;
  705.     wire g6269;
  706.     wire g6270;
  707.     wire g6271;
  708.     wire g6272;
  709.     wire g6273;
  710.     wire g6274;
  711.     wire g6275;
  712.     wire g6276;
  713.     wire g6277;
  714.     wire g6278;
  715.     wire g6279;
  716.     wire g6280;
  717.     wire g6281;
  718.     wire g6282;
  719.     wire g6283;
  720.     wire g6284;
  721.     wire g6285;
  722.     wire g6842;
  723.     wire g6920;
  724.     wire g6926;
  725.     wire g6932;
  726.     wire g6942;
  727.     wire g6949;
  728.     wire g6955;
  729.     wire g7744;
  730.     wire g8061;
  731.     wire g8062;
  732.     wire g8271;
  733.     wire g8313;
  734.     wire g8316;
  735.     wire g8318;
  736.     wire g8323;
  737.     wire g8328;
  738.     wire g8331;
  739.     wire g8335;
  740.     wire g8340;
  741.     wire g8347;
  742.     wire g8349;
  743.     wire g8352;
  744.     wire g8561;
  745.     wire g8562;
  746.     wire g8563;
  747.     wire g8564;
  748.     wire g8565;
  749.     wire g8566;
  750.     wire g8976;
  751.     wire g8977;
  752.     wire g8978;
  753.     wire g8979;
  754.     wire g8980;
  755.     wire g8981;
  756.     wire g8982;
  757.     wire g8983;
  758.     wire g8984;
  759.     wire g8985;
  760.     wire g8986;
  761.     wire g9451;
  762.     wire g9961;
  763.     wire test_so;
  764.  
  765.     //input array assignment
  766.     assign g100 = PI[0];
  767.     assign g101 = PI[1];
  768.     assign g102 = PI[2];
  769.     assign g103 = PI[3];
  770.     assign g104 = PI[4];
  771.     assign g109 = PI[5];
  772.     assign g1170 = PI[6];
  773.     assign g1173 = PI[7];
  774.     assign g1176 = PI[8];
  775.     assign g1179 = PI[9];
  776.     assign g1182 = PI[10];
  777.     assign g1185 = PI[11];
  778.     assign g1188 = PI[12];
  779.     assign g1191 = PI[13];
  780.     assign g1194 = PI[14];
  781.     assign g1197 = PI[15];
  782.     assign g1200 = PI[16];
  783.     assign g1203 = PI[17];
  784.     assign g1696 = PI[18];
  785.     assign g1700 = PI[19];
  786.     assign g1712 = PI[20];
  787.     assign g18 = PI[21];
  788.     assign g1960 = PI[22];
  789.     assign g1961 = PI[23];
  790.     assign g23 = PI[24];
  791.     assign g27 = PI[25];
  792.     assign g28 = PI[26];
  793.     assign g29 = PI[27];
  794.     assign g30 = PI[28];
  795.     assign g31 = PI[29];
  796.     assign g41 = PI[30];
  797.     assign g42 = PI[31];
  798.     assign g43 = PI[32];
  799.     assign g44 = PI[33];
  800.     assign g45 = PI[34];
  801.     assign g46 = PI[35];
  802.     assign g47 = PI[36];
  803.     assign g48 = PI[37];
  804.     assign g741 = PI[38];
  805.     assign g742 = PI[39];
  806.     assign g743 = PI[40];
  807.     assign g744 = PI[41];
  808.     assign g750 = PI[42];
  809.     assign g82 = PI[43];
  810.     assign g83 = PI[44];
  811.     assign g84 = PI[45];
  812.     assign g85 = PI[46];
  813.     assign g86 = PI[47];
  814.     assign g87 = PI[48];
  815.     assign g872 = PI[49];
  816.     assign g873 = PI[50];
  817.     assign g877 = PI[51];
  818.     assign g88 = PI[52];
  819.     assign g881 = PI[53];
  820.     assign g886 = PI[54];
  821.     assign g889 = PI[55];
  822.     assign g89 = PI[56];
  823.     assign g892 = PI[57];
  824.     assign g895 = PI[58];
  825.     assign g898 = PI[59];
  826.     assign g90 = PI[60];
  827.     assign g901 = PI[61];
  828.     assign g904 = PI[62];
  829.     assign g907 = PI[63];
  830.     assign g91 = PI[64];
  831.     assign g910 = PI[65];
  832.     assign g913 = PI[66];
  833.     assign g916 = PI[67];
  834.     assign g919 = PI[68];
  835.     assign g92 = PI[69];
  836.     assign g922 = PI[70];
  837.     assign g925 = PI[71];
  838.     assign g93 = PI[72];
  839.     assign g94 = PI[73];
  840.     assign g95 = PI[74];
  841.     assign g96 = PI[75];
  842.     assign g99 = PI[76];
  843.     assign CK = CLK;
  844.     assign test_si = SI;
  845.     assign test_se = SE;
  846.  
  847.     //key array assignment
  848.     assign key0 = KEY[0];
  849.     assign key1 = KEY[1];
  850.     assign key2 = KEY[2];
  851.     assign key3 = KEY[3];
  852.     assign key4 = KEY[4];
  853.     assign key5 = KEY[5];
  854.     assign key6 = KEY[6];
  855.     assign key7 = KEY[7];
  856.     assign key8 = KEY[8];
  857.     assign key9 = KEY[9];
  858.     assign key10 = KEY[10];
  859.     assign key11 = KEY[11];
  860.     assign key12 = KEY[12];
  861.     assign key13 = KEY[13];
  862.     assign key14 = KEY[14];
  863.     assign key15 = KEY[15];
  864.     assign key16 = KEY[16];
  865.     assign key17 = KEY[17];
  866.     assign key18 = KEY[18];
  867.     assign key19 = KEY[19];
  868.     assign key20 = KEY[20];
  869.     assign key21 = KEY[21];
  870.     assign key22 = KEY[22];
  871.     assign key23 = KEY[23];
  872.     assign key24 = KEY[24];
  873.     assign key25 = KEY[25];
  874.     assign key26 = KEY[26];
  875.     assign key27 = KEY[27];
  876.     assign key28 = KEY[28];
  877.     assign key29 = KEY[29];
  878.     assign key30 = KEY[30];
  879.     assign key31 = KEY[31];
  880.     assign key32 = KEY[32];
  881.     assign key33 = KEY[33];
  882.     assign key34 = KEY[34];
  883.     assign key35 = KEY[35];
  884.     assign key36 = KEY[36];
  885.     assign key37 = KEY[37];
  886.     assign key38 = KEY[38];
  887.     assign key39 = KEY[39];
  888.     assign key40 = KEY[40];
  889.     assign key41 = KEY[41];
  890.     assign key42 = KEY[42];
  891.     assign key43 = KEY[43];
  892.     assign key44 = KEY[44];
  893.     assign key45 = KEY[45];
  894.     assign key46 = KEY[46];
  895.     assign key47 = KEY[47];
  896.     assign key48 = KEY[48];
  897.     assign key49 = KEY[49];
  898.     assign key50 = KEY[50];
  899.     assign key51 = KEY[51];
  900.     assign key52 = KEY[52];
  901.     assign key53 = KEY[53];
  902.     assign key54 = KEY[54];
  903.     assign key55 = KEY[55];
  904.     assign key56 = KEY[56];
  905.     assign key57 = KEY[57];
  906.     assign key58 = KEY[58];
  907.     assign key59 = KEY[59];
  908.     assign key60 = KEY[60];
  909.     assign key61 = KEY[61];
  910.     assign key62 = KEY[62];
  911.     assign key63 = KEY[63];
  912.     assign key64 = KEY[64];
  913.     assign key65 = KEY[65];
  914.     assign key66 = KEY[66];
  915.     assign key67 = KEY[67];
  916.     assign key68 = KEY[68];
  917.     assign key69 = KEY[69];
  918.     assign key70 = KEY[70];
  919.     assign key71 = KEY[71];
  920.     assign key72 = KEY[72];
  921.     assign key73 = KEY[73];
  922.     assign key74 = KEY[74];
  923.     assign key75 = KEY[75];
  924.     assign key76 = KEY[76];
  925.     assign key77 = KEY[77];
  926.     assign key78 = KEY[78];
  927.     assign key79 = KEY[79];
  928.     assign key80 = KEY[80];
  929.     assign key81 = KEY[81];
  930.     assign key82 = KEY[82];
  931.     assign key83 = KEY[83];
  932.     assign key84 = KEY[84];
  933.     assign key85 = KEY[85];
  934.     assign key86 = KEY[86];
  935.     assign key87 = KEY[87];
  936.     assign key88 = KEY[88];
  937.     assign key89 = KEY[89];
  938.     assign key90 = KEY[90];
  939.     assign key91 = KEY[91];
  940.     assign key92 = KEY[92];
  941.     assign key93 = KEY[93];
  942.     assign key94 = KEY[94];
  943.     assign key95 = KEY[95];
  944.     assign key96 = KEY[96];
  945.     assign key97 = KEY[97];
  946.     assign key98 = KEY[98];
  947.     assign key99 = KEY[99];
  948.     assign key100 = KEY[100];
  949.     assign key101 = KEY[101];
  950.     assign key102 = KEY[102];
  951.     assign key103 = KEY[103];
  952.     assign key104 = KEY[104];
  953.     assign key105 = KEY[105];
  954.     assign key106 = KEY[106];
  955.     assign key107 = KEY[107];
  956.     assign key108 = KEY[108];
  957.     assign key109 = KEY[109];
  958.     assign key110 = KEY[110];
  959.     assign key111 = KEY[111];
  960.     assign key112 = KEY[112];
  961.     assign key113 = KEY[113];
  962.     assign key114 = KEY[114];
  963.     assign key115 = KEY[115];
  964.     assign key116 = KEY[116];
  965.     assign key117 = KEY[117];
  966.     assign key118 = KEY[118];
  967.     assign key119 = KEY[119];
  968.     assign key120 = KEY[120];
  969.     assign key121 = KEY[121];
  970.     assign key122 = KEY[122];
  971.     assign key123 = KEY[123];
  972.     assign key124 = KEY[124];
  973.     assign key125 = KEY[125];
  974.     assign key126 = KEY[126];
  975.     assign key127 = KEY[127];
  976.     assign key128 = KEY[128];
  977.     assign key129 = KEY[129];
  978.     assign key130 = KEY[130];
  979.     assign key131 = KEY[131];
  980.     assign key132 = KEY[132];
  981.     assign key133 = KEY[133];
  982.     assign key134 = KEY[134];
  983.     assign key135 = KEY[135];
  984.     assign key136 = KEY[136];
  985.     assign key137 = KEY[137];
  986.     assign key138 = KEY[138];
  987.     assign key139 = KEY[139];
  988.     assign key140 = KEY[140];
  989.     assign key141 = KEY[141];
  990.     assign key142 = KEY[142];
  991.     assign key143 = KEY[143];
  992.     assign key144 = KEY[144];
  993.     assign key145 = KEY[145];
  994.     assign key146 = KEY[146];
  995.     assign key147 = KEY[147];
  996.     assign key148 = KEY[148];
  997.     assign key149 = KEY[149];
  998.     assign key150 = KEY[150];
  999.     assign key151 = KEY[151];
  1000.     assign key152 = KEY[152];
  1001.     assign key153 = KEY[153];
  1002.     assign key154 = KEY[154];
  1003.     assign key155 = KEY[155];
  1004.     assign key156 = KEY[156];
  1005.     assign key157 = KEY[157];
  1006.     assign key158 = KEY[158];
  1007.     assign key159 = KEY[159];
  1008.     assign key160 = KEY[160];
  1009.     assign key161 = KEY[161];
  1010.     assign key162 = KEY[162];
  1011.     assign key163 = KEY[163];
  1012.     assign key164 = KEY[164];
  1013.     assign key165 = KEY[165];
  1014.     assign key166 = KEY[166];
  1015.     assign key167 = KEY[167];
  1016.     assign key168 = KEY[168];
  1017.     assign key169 = KEY[169];
  1018.     assign key170 = KEY[170];
  1019.     assign key171 = KEY[171];
  1020.     assign key172 = KEY[172];
  1021.     assign key173 = KEY[173];
  1022.     assign key174 = KEY[174];
  1023.     assign key175 = KEY[175];
  1024.     assign key176 = KEY[176];
  1025.     assign key177 = KEY[177];
  1026.     assign key178 = KEY[178];
  1027.     assign key179 = KEY[179];
  1028.     assign key180 = KEY[180];
  1029.     assign key181 = KEY[181];
  1030.     assign key182 = KEY[182];
  1031.     assign key183 = KEY[183];
  1032.     assign key184 = KEY[184];
  1033.     assign key185 = KEY[185];
  1034.     assign key186 = KEY[186];
  1035.     assign key187 = KEY[187];
  1036.     assign key188 = KEY[188];
  1037.     assign key189 = KEY[189];
  1038.     assign key190 = KEY[190];
  1039.     assign key191 = KEY[191];
  1040.     assign key192 = KEY[192];
  1041.     assign key193 = KEY[193];
  1042.     assign key194 = KEY[194];
  1043.     assign key195 = KEY[195];
  1044.     assign key196 = KEY[196];
  1045.     assign key197 = KEY[197];
  1046.     assign key198 = KEY[198];
  1047.     assign key199 = KEY[199];
  1048.     assign key200 = KEY[200];
  1049.     assign key201 = KEY[201];
  1050.     assign key202 = KEY[202];
  1051.     assign key203 = KEY[203];
  1052.     assign key204 = KEY[204];
  1053.     assign key205 = KEY[205];
  1054.     assign key206 = KEY[206];
  1055.     assign key207 = KEY[207];
  1056.     assign key208 = KEY[208];
  1057.     assign key209 = KEY[209];
  1058.     assign key210 = KEY[210];
  1059.     assign key211 = KEY[211];
  1060.     assign key212 = KEY[212];
  1061.     assign key213 = KEY[213];
  1062.     assign key214 = KEY[214];
  1063.     assign key215 = KEY[215];
  1064.     assign key216 = KEY[216];
  1065.     assign key217 = KEY[217];
  1066.     assign key218 = KEY[218];
  1067.     assign key219 = KEY[219];
  1068.     assign key220 = KEY[220];
  1069.     assign key221 = KEY[221];
  1070.     assign key222 = KEY[222];
  1071.     assign key223 = KEY[223];
  1072.     assign key224 = KEY[224];
  1073.     assign key225 = KEY[225];
  1074.     assign key226 = KEY[226];
  1075.     assign key227 = KEY[227];
  1076.     assign key228 = KEY[228];
  1077.     assign key229 = KEY[229];
  1078.     assign key230 = KEY[230];
  1079.     assign key231 = KEY[231];
  1080.     assign key232 = KEY[232];
  1081.     assign key233 = KEY[233];
  1082.     assign key234 = KEY[234];
  1083.     assign key235 = KEY[235];
  1084.     assign key236 = KEY[236];
  1085.     assign key237 = KEY[237];
  1086.     assign key238 = KEY[238];
  1087.     assign key239 = KEY[239];
  1088.     assign key240 = KEY[240];
  1089.     assign key241 = KEY[241];
  1090.     assign key242 = KEY[242];
  1091.     assign key243 = KEY[243];
  1092.     assign key244 = KEY[244];
  1093.     assign key245 = KEY[245];
  1094.     assign key246 = KEY[246];
  1095.     assign key247 = KEY[247];
  1096.     assign key248 = KEY[248];
  1097.     assign key249 = KEY[249];
  1098.     assign key250 = KEY[250];
  1099.     assign key251 = KEY[251];
  1100.     assign key252 = KEY[252];
  1101.     assign key253 = KEY[253];
  1102.     assign key254 = KEY[254];
  1103.     assign key255 = KEY[255];
  1104.     assign trn0 = TRN[0];
  1105.     assign trn1 = TRN[1];
  1106.     assign trn2 = TRN[2];
  1107.     assign trn3 = TRN[3];
  1108.     assign trn4 = TRN[4];
  1109.     assign trn5 = TRN[5];
  1110.     assign trn6 = TRN[6];
  1111.     assign trn7 = TRN[7];
  1112.     assign trn8 = TRN[8];
  1113.     assign trn9 = TRN[9];
  1114.     assign trn10 = TRN[10];
  1115.     assign trn11 = TRN[11];
  1116.     assign trn12 = TRN[12];
  1117.     assign trn13 = TRN[13];
  1118.     assign trn14 = TRN[14];
  1119.     assign trn15 = TRN[15];
  1120.     assign trn16 = TRN[16];
  1121.     assign trn17 = TRN[17];
  1122.     assign trn18 = TRN[18];
  1123.     assign trn19 = TRN[19];
  1124.     assign trn20 = TRN[20];
  1125.     assign trn21 = TRN[21];
  1126.     assign trn22 = TRN[22];
  1127.     assign trn23 = TRN[23];
  1128.     assign trn24 = TRN[24];
  1129.     assign trn25 = TRN[25];
  1130.     assign trn26 = TRN[26];
  1131.     assign trn27 = TRN[27];
  1132.     assign trn28 = TRN[28];
  1133.     assign trn29 = TRN[29];
  1134.     assign trn30 = TRN[30];
  1135.     assign trn31 = TRN[31];
  1136.     assign trn32 = TRN[32];
  1137.     assign trn33 = TRN[33];
  1138.     assign trn34 = TRN[34];
  1139.     assign trn35 = TRN[35];
  1140.     assign trn36 = TRN[36];
  1141.     assign trn37 = TRN[37];
  1142.     assign trn38 = TRN[38];
  1143.     assign trn39 = TRN[39];
  1144.     assign trn40 = TRN[40];
  1145.     assign trn41 = TRN[41];
  1146.     assign trn42 = TRN[42];
  1147.     assign trn43 = TRN[43];
  1148.     assign trn44 = TRN[44];
  1149.     assign trn45 = TRN[45];
  1150.     assign trn46 = TRN[46];
  1151.     assign trn47 = TRN[47];
  1152.     assign trn48 = TRN[48];
  1153.     assign trn49 = TRN[49];
  1154.     assign trn50 = TRN[50];
  1155.     assign trn51 = TRN[51];
  1156.     assign trn52 = TRN[52];
  1157.     assign trn53 = TRN[53];
  1158.     assign trn54 = TRN[54];
  1159.     assign trn55 = TRN[55];
  1160.     assign trn56 = TRN[56];
  1161.     assign trn57 = TRN[57];
  1162.     assign trn58 = TRN[58];
  1163.     assign trn59 = TRN[59];
  1164.     assign trn60 = TRN[60];
  1165.     assign trn61 = TRN[61];
  1166.     assign trn62 = TRN[62];
  1167.     assign trn63 = TRN[63];
  1168.     assign trn64 = TRN[64];
  1169.     assign trn65 = TRN[65];
  1170.     assign trn66 = TRN[66];
  1171.     assign trn67 = TRN[67];
  1172.     assign trn68 = TRN[68];
  1173.     assign trn69 = TRN[69];
  1174.     assign trn70 = TRN[70];
  1175.     assign trn71 = TRN[71];
  1176.     assign trn72 = TRN[72];
  1177.     assign trn73 = TRN[73];
  1178.     assign trn74 = TRN[74];
  1179.     assign trn75 = TRN[75];
  1180.     assign trn76 = TRN[76];
  1181.     assign trn77 = TRN[77];
  1182.     assign trn78 = TRN[78];
  1183.     assign trn79 = TRN[79];
  1184.     assign trn80 = TRN[80];
  1185.     assign trn81 = TRN[81];
  1186.     assign trn82 = TRN[82];
  1187.     assign trn83 = TRN[83];
  1188.     assign trn84 = TRN[84];
  1189.     assign trn85 = TRN[85];
  1190.     assign trn86 = TRN[86];
  1191.     assign trn87 = TRN[87];
  1192.     assign trn88 = TRN[88];
  1193.     assign trn89 = TRN[89];
  1194.     assign trn90 = TRN[90];
  1195.     assign trn91 = TRN[91];
  1196.     assign trn92 = TRN[92];
  1197.     assign trn93 = TRN[93];
  1198.     assign trn94 = TRN[94];
  1199.     assign trn95 = TRN[95];
  1200.     assign trn96 = TRN[96];
  1201.     assign trn97 = TRN[97];
  1202.     assign trn98 = TRN[98];
  1203.     assign trn99 = TRN[99];
  1204.     assign trn100 = TRN[100];
  1205.     assign trn101 = TRN[101];
  1206.     assign trn102 = TRN[102];
  1207.     assign trn103 = TRN[103];
  1208.     assign trn104 = TRN[104];
  1209.     assign trn105 = TRN[105];
  1210.     assign trn106 = TRN[106];
  1211.     assign trn107 = TRN[107];
  1212.     assign trn108 = TRN[108];
  1213.     assign trn109 = TRN[109];
  1214.     assign trn110 = TRN[110];
  1215.     assign trn111 = TRN[111];
  1216.     assign trn112 = TRN[112];
  1217.     assign trn113 = TRN[113];
  1218.     assign trn114 = TRN[114];
  1219.     assign trn115 = TRN[115];
  1220.     assign trn116 = TRN[116];
  1221.     assign trn117 = TRN[117];
  1222.     assign trn118 = TRN[118];
  1223.     assign trn119 = TRN[119];
  1224.     assign trn120 = TRN[120];
  1225.     assign trn121 = TRN[121];
  1226.     assign trn122 = TRN[122];
  1227.     assign trn123 = TRN[123];
  1228.     assign trn124 = TRN[124];
  1229.     assign trn125 = TRN[125];
  1230.     assign trn126 = TRN[126];
  1231.     assign trn127 = TRN[127];
  1232.     assign trn128 = TRN[128];
  1233.     assign trn129 = TRN[129];
  1234.     assign trn130 = TRN[130];
  1235.     assign trn131 = TRN[131];
  1236.     assign trn132 = TRN[132];
  1237.     assign trn133 = TRN[133];
  1238.     assign trn134 = TRN[134];
  1239.     assign trn135 = TRN[135];
  1240.     assign trn136 = TRN[136];
  1241.     assign trn137 = TRN[137];
  1242.     assign trn138 = TRN[138];
  1243.     assign trn139 = TRN[139];
  1244.     assign trn140 = TRN[140];
  1245.     assign trn141 = TRN[141];
  1246.     assign trn142 = TRN[142];
  1247.     assign trn143 = TRN[143];
  1248.     assign trn144 = TRN[144];
  1249.     assign trn145 = TRN[145];
  1250.     assign trn146 = TRN[146];
  1251.     assign trn147 = TRN[147];
  1252.     assign trn148 = TRN[148];
  1253.     assign trn149 = TRN[149];
  1254.     assign trn150 = TRN[150];
  1255.     assign trn151 = TRN[151];
  1256.     assign trn152 = TRN[152];
  1257.     assign trn153 = TRN[153];
  1258.     assign trn154 = TRN[154];
  1259.     assign trn155 = TRN[155];
  1260.     assign trn156 = TRN[156];
  1261.     assign trn157 = TRN[157];
  1262.     assign trn158 = TRN[158];
  1263.     assign trn159 = TRN[159];
  1264.     assign trn160 = TRN[160];
  1265.     assign trn161 = TRN[161];
  1266.     assign trn162 = TRN[162];
  1267.     assign trn163 = TRN[163];
  1268.     assign trn164 = TRN[164];
  1269.     assign trn165 = TRN[165];
  1270.     assign trn166 = TRN[166];
  1271.     assign trn167 = TRN[167];
  1272.     assign trn168 = TRN[168];
  1273.     assign trn169 = TRN[169];
  1274.     assign trn170 = TRN[170];
  1275.     assign trn171 = TRN[171];
  1276.     assign trn172 = TRN[172];
  1277.     assign trn173 = TRN[173];
  1278.     assign trn174 = TRN[174];
  1279.     assign trn175 = TRN[175];
  1280.     assign trn176 = TRN[176];
  1281.     assign trn177 = TRN[177];
  1282.     assign trn178 = TRN[178];
  1283.     assign trn179 = TRN[179];
  1284.     assign trn180 = TRN[180];
  1285.     assign trn181 = TRN[181];
  1286.     assign trn182 = TRN[182];
  1287.     assign trn183 = TRN[183];
  1288.     assign trn184 = TRN[184];
  1289.     assign trn185 = TRN[185];
  1290.     assign trn186 = TRN[186];
  1291.     assign trn187 = TRN[187];
  1292.     assign trn188 = TRN[188];
  1293.     assign trn189 = TRN[189];
  1294.     assign trn190 = TRN[190];
  1295.     assign trn191 = TRN[191];
  1296.     assign trn192 = TRN[192];
  1297.     assign trn193 = TRN[193];
  1298.     assign trn194 = TRN[194];
  1299.     assign trn195 = TRN[195];
  1300.     assign trn196 = TRN[196];
  1301.     assign trn197 = TRN[197];
  1302.     assign trn198 = TRN[198];
  1303.     assign trn199 = TRN[199];
  1304.     assign trn200 = TRN[200];
  1305.     assign trn201 = TRN[201];
  1306.     assign trn202 = TRN[202];
  1307.     assign trn203 = TRN[203];
  1308.     assign trn204 = TRN[204];
  1309.     assign trn205 = TRN[205];
  1310.     assign trn206 = TRN[206];
  1311.     assign trn207 = TRN[207];
  1312.     assign trn208 = TRN[208];
  1313.     assign trn209 = TRN[209];
  1314.     assign trn210 = TRN[210];
  1315.     assign trn211 = TRN[211];
  1316.     assign trn212 = TRN[212];
  1317.     assign trn213 = TRN[213];
  1318.     assign trn214 = TRN[214];
  1319.     assign trn215 = TRN[215];
  1320.     assign trn216 = TRN[216];
  1321.     assign trn217 = TRN[217];
  1322.     assign trn218 = TRN[218];
  1323.     assign trn219 = TRN[219];
  1324.     assign trn220 = TRN[220];
  1325.     assign trn221 = TRN[221];
  1326.     assign trn222 = TRN[222];
  1327.     assign trn223 = TRN[223];
  1328.     assign trn224 = TRN[224];
  1329.     assign trn225 = TRN[225];
  1330.     assign trn226 = TRN[226];
  1331.     assign trn227 = TRN[227];
  1332.     assign trn228 = TRN[228];
  1333.     assign trn229 = TRN[229];
  1334.     assign trn230 = TRN[230];
  1335.     assign trn231 = TRN[231];
  1336.     assign trn232 = TRN[232];
  1337.     assign trn233 = TRN[233];
  1338.     assign trn234 = TRN[234];
  1339.     assign trn235 = TRN[235];
  1340.     assign trn236 = TRN[236];
  1341.     assign trn237 = TRN[237];
  1342.     assign trn238 = TRN[238];
  1343.     assign trn239 = TRN[239];
  1344.     assign trn240 = TRN[240];
  1345.     assign trn241 = TRN[241];
  1346.     assign trn242 = TRN[242];
  1347.     assign trn243 = TRN[243];
  1348.     assign trn244 = TRN[244];
  1349.     assign trn245 = TRN[245];
  1350.     assign trn246 = TRN[246];
  1351.     assign trn247 = TRN[247];
  1352.     assign trn248 = TRN[248];
  1353.     assign trn249 = TRN[249];
  1354.     assign trn250 = TRN[250];
  1355.     assign trn251 = TRN[251];
  1356.     assign trn252 = TRN[252];
  1357.     assign trn253 = TRN[253];
  1358.     assign trn254 = TRN[254];
  1359.     assign trn255 = TRN[255];
  1360.  
  1361.     //output array assignment
  1362.     assign PO[0] = g10377;
  1363.     assign PO[1] = g10379;
  1364.     assign PO[2] = g10455;
  1365.     assign PO[3] = g10457;
  1366.     assign PO[4] = g10459;
  1367.     assign PO[5] = g10461;
  1368.     assign PO[6] = g10463;
  1369.     assign PO[7] = g10465;
  1370.     assign PO[8] = g10628;
  1371.     assign PO[9] = g10801;
  1372.     assign PO[10] = g11163;
  1373.     assign PO[11] = g11206;
  1374.     assign PO[12] = g11489;
  1375.     assign PO[13] = g1957;
  1376.     assign PO[14] = g2355;
  1377.     assign PO[15] = g2601;
  1378.     assign PO[16] = g2602;
  1379.     assign PO[17] = g2603;
  1380.     assign PO[18] = g2604;
  1381.     assign PO[19] = g2605;
  1382.     assign PO[20] = g2606;
  1383.     assign PO[21] = g2607;
  1384.     assign PO[22] = g2608;
  1385.     assign PO[23] = g2609;
  1386.     assign PO[24] = g2610;
  1387.     assign PO[25] = g2611;
  1388.     assign PO[26] = g2612;
  1389.     assign PO[27] = g2648;
  1390.     assign PO[28] = g2986;
  1391.     assign PO[29] = g3007;
  1392.     assign PO[30] = g3069;
  1393.     assign PO[31] = g3327;
  1394.     assign PO[32] = g4171;
  1395.     assign PO[33] = g4172;
  1396.     assign PO[34] = g4173;
  1397.     assign PO[35] = g4174;
  1398.     assign PO[36] = g4175;
  1399.     assign PO[37] = g4176;
  1400.     assign PO[38] = g4177;
  1401.     assign PO[39] = g4178;
  1402.     assign PO[40] = g4179;
  1403.     assign PO[41] = g4180;
  1404.     assign PO[42] = g4181;
  1405.     assign PO[43] = g4191;
  1406.     assign PO[44] = g4192;
  1407.     assign PO[45] = g4193;
  1408.     assign PO[46] = g4194;
  1409.     assign PO[47] = g4195;
  1410.     assign PO[48] = g4196;
  1411.     assign PO[49] = g4197;
  1412.     assign PO[50] = g4198;
  1413.     assign PO[51] = g4199;
  1414.     assign PO[52] = g4200;
  1415.     assign PO[53] = g4201;
  1416.     assign PO[54] = g4202;
  1417.     assign PO[55] = g4203;
  1418.     assign PO[56] = g4204;
  1419.     assign PO[57] = g4205;
  1420.     assign PO[58] = g4206;
  1421.     assign PO[59] = g4207;
  1422.     assign PO[60] = g4208;
  1423.     assign PO[61] = g4209;
  1424.     assign PO[62] = g4210;
  1425.     assign PO[63] = g4211;
  1426.     assign PO[64] = g4212;
  1427.     assign PO[65] = g4213;
  1428.     assign PO[66] = g4214;
  1429.     assign PO[67] = g4215;
  1430.     assign PO[68] = g4216;
  1431.     assign PO[69] = g4887;
  1432.     assign PO[70] = g4888;
  1433.     assign PO[71] = g5101;
  1434.     assign PO[72] = g5105;
  1435.     assign PO[73] = g5658;
  1436.     assign PO[74] = g5659;
  1437.     assign PO[75] = g5816;
  1438.     assign PO[76] = g6253;
  1439.     assign PO[77] = g6254;
  1440.     assign PO[78] = g6255;
  1441.     assign PO[79] = g6256;
  1442.     assign PO[80] = g6257;
  1443.     assign PO[81] = g6258;
  1444.     assign PO[82] = g6259;
  1445.     assign PO[83] = g6260;
  1446.     assign PO[84] = g6261;
  1447.     assign PO[85] = g6262;
  1448.     assign PO[86] = g6263;
  1449.     assign PO[87] = g6264;
  1450.     assign PO[88] = g6265;
  1451.     assign PO[89] = g6266;
  1452.     assign PO[90] = g6267;
  1453.     assign PO[91] = g6268;
  1454.     assign PO[92] = g6269;
  1455.     assign PO[93] = g6270;
  1456.     assign PO[94] = g6271;
  1457.     assign PO[95] = g6272;
  1458.     assign PO[96] = g6273;
  1459.     assign PO[97] = g6274;
  1460.     assign PO[98] = g6275;
  1461.     assign PO[99] = g6276;
  1462.     assign PO[100] = g6277;
  1463.     assign PO[101] = g6278;
  1464.     assign PO[102] = g6279;
  1465.     assign PO[103] = g6280;
  1466.     assign PO[104] = g6281;
  1467.     assign PO[105] = g6282;
  1468.     assign PO[106] = g6283;
  1469.     assign PO[107] = g6284;
  1470.     assign PO[108] = g6285;
  1471.     assign PO[109] = g6842;
  1472.     assign PO[110] = g6920;
  1473.     assign PO[111] = g6926;
  1474.     assign PO[112] = g6932;
  1475.     assign PO[113] = g6942;
  1476.     assign PO[114] = g6949;
  1477.     assign PO[115] = g6955;
  1478.     assign PO[116] = g7744;
  1479.     assign PO[117] = g8061;
  1480.     assign PO[118] = g8062;
  1481.     assign PO[119] = g8271;
  1482.     assign PO[120] = g8313;
  1483.     assign PO[121] = g8316;
  1484.     assign PO[122] = g8318;
  1485.     assign PO[123] = g8323;
  1486.     assign PO[124] = g8328;
  1487.     assign PO[125] = g8331;
  1488.     assign PO[126] = g8335;
  1489.     assign PO[127] = g8340;
  1490.     assign PO[128] = g8347;
  1491.     assign PO[129] = g8349;
  1492.     assign PO[130] = g8352;
  1493.     assign PO[131] = g8561;
  1494.     assign PO[132] = g8562;
  1495.     assign PO[133] = g8563;
  1496.     assign PO[134] = g8564;
  1497.     assign PO[135] = g8565;
  1498.     assign PO[136] = g8566;
  1499.     assign PO[137] = g8976;
  1500.     assign PO[138] = g8977;
  1501.     assign PO[139] = g8978;
  1502.     assign PO[140] = g8979;
  1503.     assign PO[141] = g8980;
  1504.     assign PO[142] = g8981;
  1505.     assign PO[143] = g8982;
  1506.     assign PO[144] = g8983;
  1507.     assign PO[145] = g8984;
  1508.     assign PO[146] = g8985;
  1509.     assign PO[147] = g8986;
  1510.     assign PO[148] = g9451;
  1511.     assign PO[149] = g9961;
  1512.     assign SO = test_so;
  1513.  
  1514.     s15850 dut (
  1515.         .g100(g100),
  1516.         .g101(g101),
  1517.         .g102(g102),
  1518.         .g103(g103),
  1519.         .g104(g104),
  1520.         .g109(g109),
  1521.         .g1170(g1170),
  1522.         .g1173(g1173),
  1523.         .g1176(g1176),
  1524.         .g1179(g1179),
  1525.         .g1182(g1182),
  1526.         .g1185(g1185),
  1527.         .g1188(g1188),
  1528.         .g1191(g1191),
  1529.         .g1194(g1194),
  1530.         .g1197(g1197),
  1531.         .g1200(g1200),
  1532.         .g1203(g1203),
  1533.         .g1696(g1696),
  1534.         .g1700(g1700),
  1535.         .g1712(g1712),
  1536.         .g18(g18),
  1537.         .g1960(g1960),
  1538.         .g1961(g1961),
  1539.         .g23(g23),
  1540.         .g27(g27),
  1541.         .g28(g28),
  1542.         .g29(g29),
  1543.         .g30(g30),
  1544.         .g31(g31),
  1545.         .g41(g41),
  1546.         .g42(g42),
  1547.         .g43(g43),
  1548.         .g44(g44),
  1549.         .g45(g45),
  1550.         .g46(g46),
  1551.         .g47(g47),
  1552.         .g48(g48),
  1553.         .g741(g741),
  1554.         .g742(g742),
  1555.         .g743(g743),
  1556.         .g744(g744),
  1557.         .g750(g750),
  1558.         .g82(g82),
  1559.         .g83(g83),
  1560.         .g84(g84),
  1561.         .g85(g85),
  1562.         .g86(g86),
  1563.         .g87(g87),
  1564.         .g872(g872),
  1565.         .g873(g873),
  1566.         .g877(g877),
  1567.         .g88(g88),
  1568.         .g881(g881),
  1569.         .g886(g886),
  1570.         .g889(g889),
  1571.         .g89(g89),
  1572.         .g892(g892),
  1573.         .g895(g895),
  1574.         .g898(g898),
  1575.         .g90(g90),
  1576.         .g901(g901),
  1577.         .g904(g904),
  1578.         .g907(g907),
  1579.         .g91(g91),
  1580.         .g910(g910),
  1581.         .g913(g913),
  1582.         .g916(g916),
  1583.         .g919(g919),
  1584.         .g92(g92),
  1585.         .g922(g922),
  1586.         .g925(g925),
  1587.         .g93(g93),
  1588.         .g94(g94),
  1589.         .g95(g95),
  1590.         .g96(g96),
  1591.         .g99(g99),
  1592.         .CK(CK),
  1593.         .test_si(test_si),
  1594.         .test_se(test_se),
  1595.         .key0(key0),
  1596.         .key1(key1),
  1597.         .key2(key2),
  1598.         .key3(key3),
  1599.         .key4(key4),
  1600.         .key5(key5),
  1601.         .key6(key6),
  1602.         .key7(key7),
  1603.         .key8(key8),
  1604.         .key9(key9),
  1605.         .key10(key10),
  1606.         .key11(key11),
  1607.         .key12(key12),
  1608.         .key13(key13),
  1609.         .key14(key14),
  1610.         .key15(key15),
  1611.         .key16(key16),
  1612.         .key17(key17),
  1613.         .key18(key18),
  1614.         .key19(key19),
  1615.         .key20(key20),
  1616.         .key21(key21),
  1617.         .key22(key22),
  1618.         .key23(key23),
  1619.         .key24(key24),
  1620.         .key25(key25),
  1621.         .key26(key26),
  1622.         .key27(key27),
  1623.         .key28(key28),
  1624.         .key29(key29),
  1625.         .key30(key30),
  1626.         .key31(key31),
  1627.         .key32(key32),
  1628.         .key33(key33),
  1629.         .key34(key34),
  1630.         .key35(key35),
  1631.         .key36(key36),
  1632.         .key37(key37),
  1633.         .key38(key38),
  1634.         .key39(key39),
  1635.         .key40(key40),
  1636.         .key41(key41),
  1637.         .key42(key42),
  1638.         .key43(key43),
  1639.         .key44(key44),
  1640.         .key45(key45),
  1641.         .key46(key46),
  1642.         .key47(key47),
  1643.         .key48(key48),
  1644.         .key49(key49),
  1645.         .key50(key50),
  1646.         .key51(key51),
  1647.         .key52(key52),
  1648.         .key53(key53),
  1649.         .key54(key54),
  1650.         .key55(key55),
  1651.         .key56(key56),
  1652.         .key57(key57),
  1653.         .key58(key58),
  1654.         .key59(key59),
  1655.         .key60(key60),
  1656.         .key61(key61),
  1657.         .key62(key62),
  1658.         .key63(key63),
  1659.         .key64(key64),
  1660.         .key65(key65),
  1661.         .key66(key66),
  1662.         .key67(key67),
  1663.         .key68(key68),
  1664.         .key69(key69),
  1665.         .key70(key70),
  1666.         .key71(key71),
  1667.         .key72(key72),
  1668.         .key73(key73),
  1669.         .key74(key74),
  1670.         .key75(key75),
  1671.         .key76(key76),
  1672.         .key77(key77),
  1673.         .key78(key78),
  1674.         .key79(key79),
  1675.         .key80(key80),
  1676.         .key81(key81),
  1677.         .key82(key82),
  1678.         .key83(key83),
  1679.         .key84(key84),
  1680.         .key85(key85),
  1681.         .key86(key86),
  1682.         .key87(key87),
  1683.         .key88(key88),
  1684.         .key89(key89),
  1685.         .key90(key90),
  1686.         .key91(key91),
  1687.         .key92(key92),
  1688.         .key93(key93),
  1689.         .key94(key94),
  1690.         .key95(key95),
  1691.         .key96(key96),
  1692.         .key97(key97),
  1693.         .key98(key98),
  1694.         .key99(key99),
  1695.         .key100(key100),
  1696.         .key101(key101),
  1697.         .key102(key102),
  1698.         .key103(key103),
  1699.         .key104(key104),
  1700.         .key105(key105),
  1701.         .key106(key106),
  1702.         .key107(key107),
  1703.         .key108(key108),
  1704.         .key109(key109),
  1705.         .key110(key110),
  1706.         .key111(key111),
  1707.         .key112(key112),
  1708.         .key113(key113),
  1709.         .key114(key114),
  1710.         .key115(key115),
  1711.         .key116(key116),
  1712.         .key117(key117),
  1713.         .key118(key118),
  1714.         .key119(key119),
  1715.         .key120(key120),
  1716.         .key121(key121),
  1717.         .key122(key122),
  1718.         .key123(key123),
  1719.         .key124(key124),
  1720.         .key125(key125),
  1721.         .key126(key126),
  1722.         .key127(key127),
  1723.         .key128(key128),
  1724.         .key129(key129),
  1725.         .key130(key130),
  1726.         .key131(key131),
  1727.         .key132(key132),
  1728.         .key133(key133),
  1729.         .key134(key134),
  1730.         .key135(key135),
  1731.         .key136(key136),
  1732.         .key137(key137),
  1733.         .key138(key138),
  1734.         .key139(key139),
  1735.         .key140(key140),
  1736.         .key141(key141),
  1737.         .key142(key142),
  1738.         .key143(key143),
  1739.         .key144(key144),
  1740.         .key145(key145),
  1741.         .key146(key146),
  1742.         .key147(key147),
  1743.         .key148(key148),
  1744.         .key149(key149),
  1745.         .key150(key150),
  1746.         .key151(key151),
  1747.         .key152(key152),
  1748.         .key153(key153),
  1749.         .key154(key154),
  1750.         .key155(key155),
  1751.         .key156(key156),
  1752.         .key157(key157),
  1753.         .key158(key158),
  1754.         .key159(key159),
  1755.         .key160(key160),
  1756.         .key161(key161),
  1757.         .key162(key162),
  1758.         .key163(key163),
  1759.         .key164(key164),
  1760.         .key165(key165),
  1761.         .key166(key166),
  1762.         .key167(key167),
  1763.         .key168(key168),
  1764.         .key169(key169),
  1765.         .key170(key170),
  1766.         .key171(key171),
  1767.         .key172(key172),
  1768.         .key173(key173),
  1769.         .key174(key174),
  1770.         .key175(key175),
  1771.         .key176(key176),
  1772.         .key177(key177),
  1773.         .key178(key178),
  1774.         .key179(key179),
  1775.         .key180(key180),
  1776.         .key181(key181),
  1777.         .key182(key182),
  1778.         .key183(key183),
  1779.         .key184(key184),
  1780.         .key185(key185),
  1781.         .key186(key186),
  1782.         .key187(key187),
  1783.         .key188(key188),
  1784.         .key189(key189),
  1785.         .key190(key190),
  1786.         .key191(key191),
  1787.         .key192(key192),
  1788.         .key193(key193),
  1789.         .key194(key194),
  1790.         .key195(key195),
  1791.         .key196(key196),
  1792.         .key197(key197),
  1793.         .key198(key198),
  1794.         .key199(key199),
  1795.         .key200(key200),
  1796.         .key201(key201),
  1797.         .key202(key202),
  1798.         .key203(key203),
  1799.         .key204(key204),
  1800.         .key205(key205),
  1801.         .key206(key206),
  1802.         .key207(key207),
  1803.         .key208(key208),
  1804.         .key209(key209),
  1805.         .key210(key210),
  1806.         .key211(key211),
  1807.         .key212(key212),
  1808.         .key213(key213),
  1809.         .key214(key214),
  1810.         .key215(key215),
  1811.         .key216(key216),
  1812.         .key217(key217),
  1813.         .key218(key218),
  1814.         .key219(key219),
  1815.         .key220(key220),
  1816.         .key221(key221),
  1817.         .key222(key222),
  1818.         .key223(key223),
  1819.         .key224(key224),
  1820.         .key225(key225),
  1821.         .key226(key226),
  1822.         .key227(key227),
  1823.         .key228(key228),
  1824.         .key229(key229),
  1825.         .key230(key230),
  1826.         .key231(key231),
  1827.         .key232(key232),
  1828.         .key233(key233),
  1829.         .key234(key234),
  1830.         .key235(key235),
  1831.         .key236(key236),
  1832.         .key237(key237),
  1833.         .key238(key238),
  1834.         .key239(key239),
  1835.         .key240(key240),
  1836.         .key241(key241),
  1837.         .key242(key242),
  1838.         .key243(key243),
  1839.         .key244(key244),
  1840.         .key245(key245),
  1841.         .key246(key246),
  1842.         .key247(key247),
  1843.         .key248(key248),
  1844.         .key249(key249),
  1845.         .key250(key250),
  1846.         .key251(key251),
  1847.         .key252(key252),
  1848.         .key253(key253),
  1849.         .key254(key254),
  1850.         .key255(key255),
  1851.         .trn0(trn0),
  1852.         .trn1(trn1),
  1853.         .trn2(trn2),
  1854.         .trn3(trn3),
  1855.         .trn4(trn4),
  1856.         .trn5(trn5),
  1857.         .trn6(trn6),
  1858.         .trn7(trn7),
  1859.         .trn8(trn8),
  1860.         .trn9(trn9),
  1861.         .trn10(trn10),
  1862.         .trn11(trn11),
  1863.         .trn12(trn12),
  1864.         .trn13(trn13),
  1865.         .trn14(trn14),
  1866.         .trn15(trn15),
  1867.         .trn16(trn16),
  1868.         .trn17(trn17),
  1869.         .trn18(trn18),
  1870.         .trn19(trn19),
  1871.         .trn20(trn20),
  1872.         .trn21(trn21),
  1873.         .trn22(trn22),
  1874.         .trn23(trn23),
  1875.         .trn24(trn24),
  1876.         .trn25(trn25),
  1877.         .trn26(trn26),
  1878.         .trn27(trn27),
  1879.         .trn28(trn28),
  1880.         .trn29(trn29),
  1881.         .trn30(trn30),
  1882.         .trn31(trn31),
  1883.         .trn32(trn32),
  1884.         .trn33(trn33),
  1885.         .trn34(trn34),
  1886.         .trn35(trn35),
  1887.         .trn36(trn36),
  1888.         .trn37(trn37),
  1889.         .trn38(trn38),
  1890.         .trn39(trn39),
  1891.         .trn40(trn40),
  1892.         .trn41(trn41),
  1893.         .trn42(trn42),
  1894.         .trn43(trn43),
  1895.         .trn44(trn44),
  1896.         .trn45(trn45),
  1897.         .trn46(trn46),
  1898.         .trn47(trn47),
  1899.         .trn48(trn48),
  1900.         .trn49(trn49),
  1901.         .trn50(trn50),
  1902.         .trn51(trn51),
  1903.         .trn52(trn52),
  1904.         .trn53(trn53),
  1905.         .trn54(trn54),
  1906.         .trn55(trn55),
  1907.         .trn56(trn56),
  1908.         .trn57(trn57),
  1909.         .trn58(trn58),
  1910.         .trn59(trn59),
  1911.         .trn60(trn60),
  1912.         .trn61(trn61),
  1913.         .trn62(trn62),
  1914.         .trn63(trn63),
  1915.         .trn64(trn64),
  1916.         .trn65(trn65),
  1917.         .trn66(trn66),
  1918.         .trn67(trn67),
  1919.         .trn68(trn68),
  1920.         .trn69(trn69),
  1921.         .trn70(trn70),
  1922.         .trn71(trn71),
  1923.         .trn72(trn72),
  1924.         .trn73(trn73),
  1925.         .trn74(trn74),
  1926.         .trn75(trn75),
  1927.         .trn76(trn76),
  1928.         .trn77(trn77),
  1929.         .trn78(trn78),
  1930.         .trn79(trn79),
  1931.         .trn80(trn80),
  1932.         .trn81(trn81),
  1933.         .trn82(trn82),
  1934.         .trn83(trn83),
  1935.         .trn84(trn84),
  1936.         .trn85(trn85),
  1937.         .trn86(trn86),
  1938.         .trn87(trn87),
  1939.         .trn88(trn88),
  1940.         .trn89(trn89),
  1941.         .trn90(trn90),
  1942.         .trn91(trn91),
  1943.         .trn92(trn92),
  1944.         .trn93(trn93),
  1945.         .trn94(trn94),
  1946.         .trn95(trn95),
  1947.         .trn96(trn96),
  1948.         .trn97(trn97),
  1949.         .trn98(trn98),
  1950.         .trn99(trn99),
  1951.         .trn100(trn100),
  1952.         .trn101(trn101),
  1953.         .trn102(trn102),
  1954.         .trn103(trn103),
  1955.         .trn104(trn104),
  1956.         .trn105(trn105),
  1957.         .trn106(trn106),
  1958.         .trn107(trn107),
  1959.         .trn108(trn108),
  1960.         .trn109(trn109),
  1961.         .trn110(trn110),
  1962.         .trn111(trn111),
  1963.         .trn112(trn112),
  1964.         .trn113(trn113),
  1965.         .trn114(trn114),
  1966.         .trn115(trn115),
  1967.         .trn116(trn116),
  1968.         .trn117(trn117),
  1969.         .trn118(trn118),
  1970.         .trn119(trn119),
  1971.         .trn120(trn120),
  1972.         .trn121(trn121),
  1973.         .trn122(trn122),
  1974.         .trn123(trn123),
  1975.         .trn124(trn124),
  1976.         .trn125(trn125),
  1977.         .trn126(trn126),
  1978.         .trn127(trn127),
  1979.         .trn128(trn128),
  1980.         .trn129(trn129),
  1981.         .trn130(trn130),
  1982.         .trn131(trn131),
  1983.         .trn132(trn132),
  1984.         .trn133(trn133),
  1985.         .trn134(trn134),
  1986.         .trn135(trn135),
  1987.         .trn136(trn136),
  1988.         .trn137(trn137),
  1989.         .trn138(trn138),
  1990.         .trn139(trn139),
  1991.         .trn140(trn140),
  1992.         .trn141(trn141),
  1993.         .trn142(trn142),
  1994.         .trn143(trn143),
  1995.         .trn144(trn144),
  1996.         .trn145(trn145),
  1997.         .trn146(trn146),
  1998.         .trn147(trn147),
  1999.         .trn148(trn148),
  2000.         .trn149(trn149),
  2001.         .trn150(trn150),
  2002.         .trn151(trn151),
  2003.         .trn152(trn152),
  2004.         .trn153(trn153),
  2005.         .trn154(trn154),
  2006.         .trn155(trn155),
  2007.         .trn156(trn156),
  2008.         .trn157(trn157),
  2009.         .trn158(trn158),
  2010.         .trn159(trn159),
  2011.         .trn160(trn160),
  2012.         .trn161(trn161),
  2013.         .trn162(trn162),
  2014.         .trn163(trn163),
  2015.         .trn164(trn164),
  2016.         .trn165(trn165),
  2017.         .trn166(trn166),
  2018.         .trn167(trn167),
  2019.         .trn168(trn168),
  2020.         .trn169(trn169),
  2021.         .trn170(trn170),
  2022.         .trn171(trn171),
  2023.         .trn172(trn172),
  2024.         .trn173(trn173),
  2025.         .trn174(trn174),
  2026.         .trn175(trn175),
  2027.         .trn176(trn176),
  2028.         .trn177(trn177),
  2029.         .trn178(trn178),
  2030.         .trn179(trn179),
  2031.         .trn180(trn180),
  2032.         .trn181(trn181),
  2033.         .trn182(trn182),
  2034.         .trn183(trn183),
  2035.         .trn184(trn184),
  2036.         .trn185(trn185),
  2037.         .trn186(trn186),
  2038.         .trn187(trn187),
  2039.         .trn188(trn188),
  2040.         .trn189(trn189),
  2041.         .trn190(trn190),
  2042.         .trn191(trn191),
  2043.         .trn192(trn192),
  2044.         .trn193(trn193),
  2045.         .trn194(trn194),
  2046.         .trn195(trn195),
  2047.         .trn196(trn196),
  2048.         .trn197(trn197),
  2049.         .trn198(trn198),
  2050.         .trn199(trn199),
  2051.         .trn200(trn200),
  2052.         .trn201(trn201),
  2053.         .trn202(trn202),
  2054.         .trn203(trn203),
  2055.         .trn204(trn204),
  2056.         .trn205(trn205),
  2057.         .trn206(trn206),
  2058.         .trn207(trn207),
  2059.         .trn208(trn208),
  2060.         .trn209(trn209),
  2061.         .trn210(trn210),
  2062.         .trn211(trn211),
  2063.         .trn212(trn212),
  2064.         .trn213(trn213),
  2065.         .trn214(trn214),
  2066.         .trn215(trn215),
  2067.         .trn216(trn216),
  2068.         .trn217(trn217),
  2069.         .trn218(trn218),
  2070.         .trn219(trn219),
  2071.         .trn220(trn220),
  2072.         .trn221(trn221),
  2073.         .trn222(trn222),
  2074.         .trn223(trn223),
  2075.         .trn224(trn224),
  2076.         .trn225(trn225),
  2077.         .trn226(trn226),
  2078.         .trn227(trn227),
  2079.         .trn228(trn228),
  2080.         .trn229(trn229),
  2081.         .trn230(trn230),
  2082.         .trn231(trn231),
  2083.         .trn232(trn232),
  2084.         .trn233(trn233),
  2085.         .trn234(trn234),
  2086.         .trn235(trn235),
  2087.         .trn236(trn236),
  2088.         .trn237(trn237),
  2089.         .trn238(trn238),
  2090.         .trn239(trn239),
  2091.         .trn240(trn240),
  2092.         .trn241(trn241),
  2093.         .trn242(trn242),
  2094.         .trn243(trn243),
  2095.         .trn244(trn244),
  2096.         .trn245(trn245),
  2097.         .trn246(trn246),
  2098.         .trn247(trn247),
  2099.         .trn248(trn248),
  2100.         .trn249(trn249),
  2101.         .trn250(trn250),
  2102.         .trn251(trn251),
  2103.         .trn252(trn252),
  2104.         .trn253(trn253),
  2105.         .trn254(trn254),
  2106.         .trn255(trn255),
  2107.         .g10377(g10377),
  2108.         .g10379(g10379),
  2109.         .g10455(g10455),
  2110.         .g10457(g10457),
  2111.         .g10459(g10459),
  2112.         .g10461(g10461),
  2113.         .g10463(g10463),
  2114.         .g10465(g10465),
  2115.         .g10628(g10628),
  2116.         .g10801(g10801),
  2117.         .g11163(g11163),
  2118.         .g11206(g11206),
  2119.         .g11489(g11489),
  2120.         .g1957(g1957),
  2121.         .g2355(g2355),
  2122.         .g2601(g2601),
  2123.         .g2602(g2602),
  2124.         .g2603(g2603),
  2125.         .g2604(g2604),
  2126.         .g2605(g2605),
  2127.         .g2606(g2606),
  2128.         .g2607(g2607),
  2129.         .g2608(g2608),
  2130.         .g2609(g2609),
  2131.         .g2610(g2610),
  2132.         .g2611(g2611),
  2133.         .g2612(g2612),
  2134.         .g2648(g2648),
  2135.         .g2986(g2986),
  2136.         .g3007(g3007),
  2137.         .g3069(g3069),
  2138.         .g3327(g3327),
  2139.         .g4171(g4171),
  2140.         .g4172(g4172),
  2141.         .g4173(g4173),
  2142.         .g4174(g4174),
  2143.         .g4175(g4175),
  2144.         .g4176(g4176),
  2145.         .g4177(g4177),
  2146.         .g4178(g4178),
  2147.         .g4179(g4179),
  2148.         .g4180(g4180),
  2149.         .g4181(g4181),
  2150.         .g4191(g4191),
  2151.         .g4192(g4192),
  2152.         .g4193(g4193),
  2153.         .g4194(g4194),
  2154.         .g4195(g4195),
  2155.         .g4196(g4196),
  2156.         .g4197(g4197),
  2157.         .g4198(g4198),
  2158.         .g4199(g4199),
  2159.         .g4200(g4200),
  2160.         .g4201(g4201),
  2161.         .g4202(g4202),
  2162.         .g4203(g4203),
  2163.         .g4204(g4204),
  2164.         .g4205(g4205),
  2165.         .g4206(g4206),
  2166.         .g4207(g4207),
  2167.         .g4208(g4208),
  2168.         .g4209(g4209),
  2169.         .g4210(g4210),
  2170.         .g4211(g4211),
  2171.         .g4212(g4212),
  2172.         .g4213(g4213),
  2173.         .g4214(g4214),
  2174.         .g4215(g4215),
  2175.         .g4216(g4216),
  2176.         .g4887(g4887),
  2177.         .g4888(g4888),
  2178.         .g5101(g5101),
  2179.         .g5105(g5105),
  2180.         .g5658(g5658),
  2181.         .g5659(g5659),
  2182.         .g5816(g5816),
  2183.         .g6253(g6253),
  2184.         .g6254(g6254),
  2185.         .g6255(g6255),
  2186.         .g6256(g6256),
  2187.         .g6257(g6257),
  2188.         .g6258(g6258),
  2189.         .g6259(g6259),
  2190.         .g6260(g6260),
  2191.         .g6261(g6261),
  2192.         .g6262(g6262),
  2193.         .g6263(g6263),
  2194.         .g6264(g6264),
  2195.         .g6265(g6265),
  2196.         .g6266(g6266),
  2197.         .g6267(g6267),
  2198.         .g6268(g6268),
  2199.         .g6269(g6269),
  2200.         .g6270(g6270),
  2201.         .g6271(g6271),
  2202.         .g6272(g6272),
  2203.         .g6273(g6273),
  2204.         .g6274(g6274),
  2205.         .g6275(g6275),
  2206.         .g6276(g6276),
  2207.         .g6277(g6277),
  2208.         .g6278(g6278),
  2209.         .g6279(g6279),
  2210.         .g6280(g6280),
  2211.         .g6281(g6281),
  2212.         .g6282(g6282),
  2213.         .g6283(g6283),
  2214.         .g6284(g6284),
  2215.         .g6285(g6285),
  2216.         .g6842(g6842),
  2217.         .g6920(g6920),
  2218.         .g6926(g6926),
  2219.         .g6932(g6932),
  2220.         .g6942(g6942),
  2221.         .g6949(g6949),
  2222.         .g6955(g6955),
  2223.         .g7744(g7744),
  2224.         .g8061(g8061),
  2225.         .g8062(g8062),
  2226.         .g8271(g8271),
  2227.         .g8313(g8313),
  2228.         .g8316(g8316),
  2229.         .g8318(g8318),
  2230.         .g8323(g8323),
  2231.         .g8328(g8328),
  2232.         .g8331(g8331),
  2233.         .g8335(g8335),
  2234.         .g8340(g8340),
  2235.         .g8347(g8347),
  2236.         .g8349(g8349),
  2237.         .g8352(g8352),
  2238.         .g8561(g8561),
  2239.         .g8562(g8562),
  2240.         .g8563(g8563),
  2241.         .g8564(g8564),
  2242.         .g8565(g8565),
  2243.         .g8566(g8566),
  2244.         .g8976(g8976),
  2245.         .g8977(g8977),
  2246.         .g8978(g8978),
  2247.         .g8979(g8979),
  2248.         .g8980(g8980),
  2249.         .g8981(g8981),
  2250.         .g8982(g8982),
  2251.         .g8983(g8983),
  2252.         .g8984(g8984),
  2253.         .g8985(g8985),
  2254.         .g8986(g8986),
  2255.         .g9451(g9451),
  2256.         .g9961(g9961),
  2257.         .test_so(test_so));
  2258.  
  2259.     reg [76:0] data [1023:0];
  2260.     reg [255:0] keys [1023:0];
  2261.     reg [255:0] trns [1023:0];
  2262.     initial $readmemb("patt.txt",data);
  2263.     initial $readmemb("keys.txt",keys);
  2264.     initial $readmemb("trns.txt",trns);
  2265.  
  2266.     initial begin
  2267.  
  2268.         integer file = $fopen("output.txt","w");
  2269.         for (integer i = 0; i < 32; i = i + 1) begin
  2270.             //$fdisplay(file,"Chip %d",i);
  2271.             PI = 77'h0;
  2272.             KEY = keys[i];
  2273.             TRN = trns[i];
  2274.             CLK = 1'b0;
  2275.             SI = 1'b0;
  2276.             SE = 1'b0;
  2277.             #10;
  2278.  
  2279.             SI = 1'b0;
  2280.             SE = 1'b1;
  2281.             for (integer j = 0; j < 442; j = j + 1) begin
  2282.                 #10 CLK = 1'b1;
  2283.                 #10 CLK = 1'b0;
  2284.             end
  2285.             SE = 1'b0;
  2286.             #10;
  2287.             //$fdisplay(file,"%b",PO);
  2288.  
  2289.             for (integer j = 0; j < 1024; j = j + 1) begin
  2290.                 PI = data[j];
  2291.                 #10 CLK = 1'b1;
  2292.                 #10 CLK = 1'b0;
  2293.                 //$fdisplay(file,"%b",PO);
  2294.             end
  2295.             $fdisplay(file,"%b",PO);
  2296.         end
  2297.  
  2298.     end
  2299. endmodule
Add Comment
Please, Sign In to add comment