Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- `timescale 1 ns / 1 ns
- module testbench ;
- //circuit params
- parameter NINPUTS = 77, NOUTPUTS = 150, NKEY = 256;
- //define regs and wires
- reg [0:NINPUTS-1] PI; //not including SI and SE
- reg [0:NKEY-1] KEY;
- reg [0:NKEY-1] TRN;
- reg CLK, SI, SE;
- wire [0:NOUTPUTS-1] PO; //not including SO
- wire SO;
- //input wires
- wire g100;
- wire g101;
- wire g102;
- wire g103;
- wire g104;
- wire g109;
- wire g1170;
- wire g1173;
- wire g1176;
- wire g1179;
- wire g1182;
- wire g1185;
- wire g1188;
- wire g1191;
- wire g1194;
- wire g1197;
- wire g1200;
- wire g1203;
- wire g1696;
- wire g1700;
- wire g1712;
- wire g18;
- wire g1960;
- wire g1961;
- wire g23;
- wire g27;
- wire g28;
- wire g29;
- wire g30;
- wire g31;
- wire g41;
- wire g42;
- wire g43;
- wire g44;
- wire g45;
- wire g46;
- wire g47;
- wire g48;
- wire g741;
- wire g742;
- wire g743;
- wire g744;
- wire g750;
- wire g82;
- wire g83;
- wire g84;
- wire g85;
- wire g86;
- wire g87;
- wire g872;
- wire g873;
- wire g877;
- wire g88;
- wire g881;
- wire g886;
- wire g889;
- wire g89;
- wire g892;
- wire g895;
- wire g898;
- wire g90;
- wire g901;
- wire g904;
- wire g907;
- wire g91;
- wire g910;
- wire g913;
- wire g916;
- wire g919;
- wire g92;
- wire g922;
- wire g925;
- wire g93;
- wire g94;
- wire g95;
- wire g96;
- wire g99;
- wire CK;
- wire test_si;
- wire test_se;
- //key wires
- wire key0;
- wire key1;
- wire key2;
- wire key3;
- wire key4;
- wire key5;
- wire key6;
- wire key7;
- wire key8;
- wire key9;
- wire key10;
- wire key11;
- wire key12;
- wire key13;
- wire key14;
- wire key15;
- wire key16;
- wire key17;
- wire key18;
- wire key19;
- wire key20;
- wire key21;
- wire key22;
- wire key23;
- wire key24;
- wire key25;
- wire key26;
- wire key27;
- wire key28;
- wire key29;
- wire key30;
- wire key31;
- wire key32;
- wire key33;
- wire key34;
- wire key35;
- wire key36;
- wire key37;
- wire key38;
- wire key39;
- wire key40;
- wire key41;
- wire key42;
- wire key43;
- wire key44;
- wire key45;
- wire key46;
- wire key47;
- wire key48;
- wire key49;
- wire key50;
- wire key51;
- wire key52;
- wire key53;
- wire key54;
- wire key55;
- wire key56;
- wire key57;
- wire key58;
- wire key59;
- wire key60;
- wire key61;
- wire key62;
- wire key63;
- wire key64;
- wire key65;
- wire key66;
- wire key67;
- wire key68;
- wire key69;
- wire key70;
- wire key71;
- wire key72;
- wire key73;
- wire key74;
- wire key75;
- wire key76;
- wire key77;
- wire key78;
- wire key79;
- wire key80;
- wire key81;
- wire key82;
- wire key83;
- wire key84;
- wire key85;
- wire key86;
- wire key87;
- wire key88;
- wire key89;
- wire key90;
- wire key91;
- wire key92;
- wire key93;
- wire key94;
- wire key95;
- wire key96;
- wire key97;
- wire key98;
- wire key99;
- wire key100;
- wire key101;
- wire key102;
- wire key103;
- wire key104;
- wire key105;
- wire key106;
- wire key107;
- wire key108;
- wire key109;
- wire key110;
- wire key111;
- wire key112;
- wire key113;
- wire key114;
- wire key115;
- wire key116;
- wire key117;
- wire key118;
- wire key119;
- wire key120;
- wire key121;
- wire key122;
- wire key123;
- wire key124;
- wire key125;
- wire key126;
- wire key127;
- wire key128;
- wire key129;
- wire key130;
- wire key131;
- wire key132;
- wire key133;
- wire key134;
- wire key135;
- wire key136;
- wire key137;
- wire key138;
- wire key139;
- wire key140;
- wire key141;
- wire key142;
- wire key143;
- wire key144;
- wire key145;
- wire key146;
- wire key147;
- wire key148;
- wire key149;
- wire key150;
- wire key151;
- wire key152;
- wire key153;
- wire key154;
- wire key155;
- wire key156;
- wire key157;
- wire key158;
- wire key159;
- wire key160;
- wire key161;
- wire key162;
- wire key163;
- wire key164;
- wire key165;
- wire key166;
- wire key167;
- wire key168;
- wire key169;
- wire key170;
- wire key171;
- wire key172;
- wire key173;
- wire key174;
- wire key175;
- wire key176;
- wire key177;
- wire key178;
- wire key179;
- wire key180;
- wire key181;
- wire key182;
- wire key183;
- wire key184;
- wire key185;
- wire key186;
- wire key187;
- wire key188;
- wire key189;
- wire key190;
- wire key191;
- wire key192;
- wire key193;
- wire key194;
- wire key195;
- wire key196;
- wire key197;
- wire key198;
- wire key199;
- wire key200;
- wire key201;
- wire key202;
- wire key203;
- wire key204;
- wire key205;
- wire key206;
- wire key207;
- wire key208;
- wire key209;
- wire key210;
- wire key211;
- wire key212;
- wire key213;
- wire key214;
- wire key215;
- wire key216;
- wire key217;
- wire key218;
- wire key219;
- wire key220;
- wire key221;
- wire key222;
- wire key223;
- wire key224;
- wire key225;
- wire key226;
- wire key227;
- wire key228;
- wire key229;
- wire key230;
- wire key231;
- wire key232;
- wire key233;
- wire key234;
- wire key235;
- wire key236;
- wire key237;
- wire key238;
- wire key239;
- wire key240;
- wire key241;
- wire key242;
- wire key243;
- wire key244;
- wire key245;
- wire key246;
- wire key247;
- wire key248;
- wire key249;
- wire key250;
- wire key251;
- wire key252;
- wire key253;
- wire key254;
- wire key255;
- wire trn0;
- wire trn1;
- wire trn2;
- wire trn3;
- wire trn4;
- wire trn5;
- wire trn6;
- wire trn7;
- wire trn8;
- wire trn9;
- wire trn10;
- wire trn11;
- wire trn12;
- wire trn13;
- wire trn14;
- wire trn15;
- wire trn16;
- wire trn17;
- wire trn18;
- wire trn19;
- wire trn20;
- wire trn21;
- wire trn22;
- wire trn23;
- wire trn24;
- wire trn25;
- wire trn26;
- wire trn27;
- wire trn28;
- wire trn29;
- wire trn30;
- wire trn31;
- wire trn32;
- wire trn33;
- wire trn34;
- wire trn35;
- wire trn36;
- wire trn37;
- wire trn38;
- wire trn39;
- wire trn40;
- wire trn41;
- wire trn42;
- wire trn43;
- wire trn44;
- wire trn45;
- wire trn46;
- wire trn47;
- wire trn48;
- wire trn49;
- wire trn50;
- wire trn51;
- wire trn52;
- wire trn53;
- wire trn54;
- wire trn55;
- wire trn56;
- wire trn57;
- wire trn58;
- wire trn59;
- wire trn60;
- wire trn61;
- wire trn62;
- wire trn63;
- wire trn64;
- wire trn65;
- wire trn66;
- wire trn67;
- wire trn68;
- wire trn69;
- wire trn70;
- wire trn71;
- wire trn72;
- wire trn73;
- wire trn74;
- wire trn75;
- wire trn76;
- wire trn77;
- wire trn78;
- wire trn79;
- wire trn80;
- wire trn81;
- wire trn82;
- wire trn83;
- wire trn84;
- wire trn85;
- wire trn86;
- wire trn87;
- wire trn88;
- wire trn89;
- wire trn90;
- wire trn91;
- wire trn92;
- wire trn93;
- wire trn94;
- wire trn95;
- wire trn96;
- wire trn97;
- wire trn98;
- wire trn99;
- wire trn100;
- wire trn101;
- wire trn102;
- wire trn103;
- wire trn104;
- wire trn105;
- wire trn106;
- wire trn107;
- wire trn108;
- wire trn109;
- wire trn110;
- wire trn111;
- wire trn112;
- wire trn113;
- wire trn114;
- wire trn115;
- wire trn116;
- wire trn117;
- wire trn118;
- wire trn119;
- wire trn120;
- wire trn121;
- wire trn122;
- wire trn123;
- wire trn124;
- wire trn125;
- wire trn126;
- wire trn127;
- wire trn128;
- wire trn129;
- wire trn130;
- wire trn131;
- wire trn132;
- wire trn133;
- wire trn134;
- wire trn135;
- wire trn136;
- wire trn137;
- wire trn138;
- wire trn139;
- wire trn140;
- wire trn141;
- wire trn142;
- wire trn143;
- wire trn144;
- wire trn145;
- wire trn146;
- wire trn147;
- wire trn148;
- wire trn149;
- wire trn150;
- wire trn151;
- wire trn152;
- wire trn153;
- wire trn154;
- wire trn155;
- wire trn156;
- wire trn157;
- wire trn158;
- wire trn159;
- wire trn160;
- wire trn161;
- wire trn162;
- wire trn163;
- wire trn164;
- wire trn165;
- wire trn166;
- wire trn167;
- wire trn168;
- wire trn169;
- wire trn170;
- wire trn171;
- wire trn172;
- wire trn173;
- wire trn174;
- wire trn175;
- wire trn176;
- wire trn177;
- wire trn178;
- wire trn179;
- wire trn180;
- wire trn181;
- wire trn182;
- wire trn183;
- wire trn184;
- wire trn185;
- wire trn186;
- wire trn187;
- wire trn188;
- wire trn189;
- wire trn190;
- wire trn191;
- wire trn192;
- wire trn193;
- wire trn194;
- wire trn195;
- wire trn196;
- wire trn197;
- wire trn198;
- wire trn199;
- wire trn200;
- wire trn201;
- wire trn202;
- wire trn203;
- wire trn204;
- wire trn205;
- wire trn206;
- wire trn207;
- wire trn208;
- wire trn209;
- wire trn210;
- wire trn211;
- wire trn212;
- wire trn213;
- wire trn214;
- wire trn215;
- wire trn216;
- wire trn217;
- wire trn218;
- wire trn219;
- wire trn220;
- wire trn221;
- wire trn222;
- wire trn223;
- wire trn224;
- wire trn225;
- wire trn226;
- wire trn227;
- wire trn228;
- wire trn229;
- wire trn230;
- wire trn231;
- wire trn232;
- wire trn233;
- wire trn234;
- wire trn235;
- wire trn236;
- wire trn237;
- wire trn238;
- wire trn239;
- wire trn240;
- wire trn241;
- wire trn242;
- wire trn243;
- wire trn244;
- wire trn245;
- wire trn246;
- wire trn247;
- wire trn248;
- wire trn249;
- wire trn250;
- wire trn251;
- wire trn252;
- wire trn253;
- wire trn254;
- wire trn255;
- //output wires
- wire g10377;
- wire g10379;
- wire g10455;
- wire g10457;
- wire g10459;
- wire g10461;
- wire g10463;
- wire g10465;
- wire g10628;
- wire g10801;
- wire g11163;
- wire g11206;
- wire g11489;
- wire g1957;
- wire g2355;
- wire g2601;
- wire g2602;
- wire g2603;
- wire g2604;
- wire g2605;
- wire g2606;
- wire g2607;
- wire g2608;
- wire g2609;
- wire g2610;
- wire g2611;
- wire g2612;
- wire g2648;
- wire g2986;
- wire g3007;
- wire g3069;
- wire g3327;
- wire g4171;
- wire g4172;
- wire g4173;
- wire g4174;
- wire g4175;
- wire g4176;
- wire g4177;
- wire g4178;
- wire g4179;
- wire g4180;
- wire g4181;
- wire g4191;
- wire g4192;
- wire g4193;
- wire g4194;
- wire g4195;
- wire g4196;
- wire g4197;
- wire g4198;
- wire g4199;
- wire g4200;
- wire g4201;
- wire g4202;
- wire g4203;
- wire g4204;
- wire g4205;
- wire g4206;
- wire g4207;
- wire g4208;
- wire g4209;
- wire g4210;
- wire g4211;
- wire g4212;
- wire g4213;
- wire g4214;
- wire g4215;
- wire g4216;
- wire g4887;
- wire g4888;
- wire g5101;
- wire g5105;
- wire g5658;
- wire g5659;
- wire g5816;
- wire g6253;
- wire g6254;
- wire g6255;
- wire g6256;
- wire g6257;
- wire g6258;
- wire g6259;
- wire g6260;
- wire g6261;
- wire g6262;
- wire g6263;
- wire g6264;
- wire g6265;
- wire g6266;
- wire g6267;
- wire g6268;
- wire g6269;
- wire g6270;
- wire g6271;
- wire g6272;
- wire g6273;
- wire g6274;
- wire g6275;
- wire g6276;
- wire g6277;
- wire g6278;
- wire g6279;
- wire g6280;
- wire g6281;
- wire g6282;
- wire g6283;
- wire g6284;
- wire g6285;
- wire g6842;
- wire g6920;
- wire g6926;
- wire g6932;
- wire g6942;
- wire g6949;
- wire g6955;
- wire g7744;
- wire g8061;
- wire g8062;
- wire g8271;
- wire g8313;
- wire g8316;
- wire g8318;
- wire g8323;
- wire g8328;
- wire g8331;
- wire g8335;
- wire g8340;
- wire g8347;
- wire g8349;
- wire g8352;
- wire g8561;
- wire g8562;
- wire g8563;
- wire g8564;
- wire g8565;
- wire g8566;
- wire g8976;
- wire g8977;
- wire g8978;
- wire g8979;
- wire g8980;
- wire g8981;
- wire g8982;
- wire g8983;
- wire g8984;
- wire g8985;
- wire g8986;
- wire g9451;
- wire g9961;
- wire test_so;
- //input array assignment
- assign g100 = PI[0];
- assign g101 = PI[1];
- assign g102 = PI[2];
- assign g103 = PI[3];
- assign g104 = PI[4];
- assign g109 = PI[5];
- assign g1170 = PI[6];
- assign g1173 = PI[7];
- assign g1176 = PI[8];
- assign g1179 = PI[9];
- assign g1182 = PI[10];
- assign g1185 = PI[11];
- assign g1188 = PI[12];
- assign g1191 = PI[13];
- assign g1194 = PI[14];
- assign g1197 = PI[15];
- assign g1200 = PI[16];
- assign g1203 = PI[17];
- assign g1696 = PI[18];
- assign g1700 = PI[19];
- assign g1712 = PI[20];
- assign g18 = PI[21];
- assign g1960 = PI[22];
- assign g1961 = PI[23];
- assign g23 = PI[24];
- assign g27 = PI[25];
- assign g28 = PI[26];
- assign g29 = PI[27];
- assign g30 = PI[28];
- assign g31 = PI[29];
- assign g41 = PI[30];
- assign g42 = PI[31];
- assign g43 = PI[32];
- assign g44 = PI[33];
- assign g45 = PI[34];
- assign g46 = PI[35];
- assign g47 = PI[36];
- assign g48 = PI[37];
- assign g741 = PI[38];
- assign g742 = PI[39];
- assign g743 = PI[40];
- assign g744 = PI[41];
- assign g750 = PI[42];
- assign g82 = PI[43];
- assign g83 = PI[44];
- assign g84 = PI[45];
- assign g85 = PI[46];
- assign g86 = PI[47];
- assign g87 = PI[48];
- assign g872 = PI[49];
- assign g873 = PI[50];
- assign g877 = PI[51];
- assign g88 = PI[52];
- assign g881 = PI[53];
- assign g886 = PI[54];
- assign g889 = PI[55];
- assign g89 = PI[56];
- assign g892 = PI[57];
- assign g895 = PI[58];
- assign g898 = PI[59];
- assign g90 = PI[60];
- assign g901 = PI[61];
- assign g904 = PI[62];
- assign g907 = PI[63];
- assign g91 = PI[64];
- assign g910 = PI[65];
- assign g913 = PI[66];
- assign g916 = PI[67];
- assign g919 = PI[68];
- assign g92 = PI[69];
- assign g922 = PI[70];
- assign g925 = PI[71];
- assign g93 = PI[72];
- assign g94 = PI[73];
- assign g95 = PI[74];
- assign g96 = PI[75];
- assign g99 = PI[76];
- assign CK = CLK;
- assign test_si = SI;
- assign test_se = SE;
- //key array assignment
- assign key0 = KEY[0];
- assign key1 = KEY[1];
- assign key2 = KEY[2];
- assign key3 = KEY[3];
- assign key4 = KEY[4];
- assign key5 = KEY[5];
- assign key6 = KEY[6];
- assign key7 = KEY[7];
- assign key8 = KEY[8];
- assign key9 = KEY[9];
- assign key10 = KEY[10];
- assign key11 = KEY[11];
- assign key12 = KEY[12];
- assign key13 = KEY[13];
- assign key14 = KEY[14];
- assign key15 = KEY[15];
- assign key16 = KEY[16];
- assign key17 = KEY[17];
- assign key18 = KEY[18];
- assign key19 = KEY[19];
- assign key20 = KEY[20];
- assign key21 = KEY[21];
- assign key22 = KEY[22];
- assign key23 = KEY[23];
- assign key24 = KEY[24];
- assign key25 = KEY[25];
- assign key26 = KEY[26];
- assign key27 = KEY[27];
- assign key28 = KEY[28];
- assign key29 = KEY[29];
- assign key30 = KEY[30];
- assign key31 = KEY[31];
- assign key32 = KEY[32];
- assign key33 = KEY[33];
- assign key34 = KEY[34];
- assign key35 = KEY[35];
- assign key36 = KEY[36];
- assign key37 = KEY[37];
- assign key38 = KEY[38];
- assign key39 = KEY[39];
- assign key40 = KEY[40];
- assign key41 = KEY[41];
- assign key42 = KEY[42];
- assign key43 = KEY[43];
- assign key44 = KEY[44];
- assign key45 = KEY[45];
- assign key46 = KEY[46];
- assign key47 = KEY[47];
- assign key48 = KEY[48];
- assign key49 = KEY[49];
- assign key50 = KEY[50];
- assign key51 = KEY[51];
- assign key52 = KEY[52];
- assign key53 = KEY[53];
- assign key54 = KEY[54];
- assign key55 = KEY[55];
- assign key56 = KEY[56];
- assign key57 = KEY[57];
- assign key58 = KEY[58];
- assign key59 = KEY[59];
- assign key60 = KEY[60];
- assign key61 = KEY[61];
- assign key62 = KEY[62];
- assign key63 = KEY[63];
- assign key64 = KEY[64];
- assign key65 = KEY[65];
- assign key66 = KEY[66];
- assign key67 = KEY[67];
- assign key68 = KEY[68];
- assign key69 = KEY[69];
- assign key70 = KEY[70];
- assign key71 = KEY[71];
- assign key72 = KEY[72];
- assign key73 = KEY[73];
- assign key74 = KEY[74];
- assign key75 = KEY[75];
- assign key76 = KEY[76];
- assign key77 = KEY[77];
- assign key78 = KEY[78];
- assign key79 = KEY[79];
- assign key80 = KEY[80];
- assign key81 = KEY[81];
- assign key82 = KEY[82];
- assign key83 = KEY[83];
- assign key84 = KEY[84];
- assign key85 = KEY[85];
- assign key86 = KEY[86];
- assign key87 = KEY[87];
- assign key88 = KEY[88];
- assign key89 = KEY[89];
- assign key90 = KEY[90];
- assign key91 = KEY[91];
- assign key92 = KEY[92];
- assign key93 = KEY[93];
- assign key94 = KEY[94];
- assign key95 = KEY[95];
- assign key96 = KEY[96];
- assign key97 = KEY[97];
- assign key98 = KEY[98];
- assign key99 = KEY[99];
- assign key100 = KEY[100];
- assign key101 = KEY[101];
- assign key102 = KEY[102];
- assign key103 = KEY[103];
- assign key104 = KEY[104];
- assign key105 = KEY[105];
- assign key106 = KEY[106];
- assign key107 = KEY[107];
- assign key108 = KEY[108];
- assign key109 = KEY[109];
- assign key110 = KEY[110];
- assign key111 = KEY[111];
- assign key112 = KEY[112];
- assign key113 = KEY[113];
- assign key114 = KEY[114];
- assign key115 = KEY[115];
- assign key116 = KEY[116];
- assign key117 = KEY[117];
- assign key118 = KEY[118];
- assign key119 = KEY[119];
- assign key120 = KEY[120];
- assign key121 = KEY[121];
- assign key122 = KEY[122];
- assign key123 = KEY[123];
- assign key124 = KEY[124];
- assign key125 = KEY[125];
- assign key126 = KEY[126];
- assign key127 = KEY[127];
- assign key128 = KEY[128];
- assign key129 = KEY[129];
- assign key130 = KEY[130];
- assign key131 = KEY[131];
- assign key132 = KEY[132];
- assign key133 = KEY[133];
- assign key134 = KEY[134];
- assign key135 = KEY[135];
- assign key136 = KEY[136];
- assign key137 = KEY[137];
- assign key138 = KEY[138];
- assign key139 = KEY[139];
- assign key140 = KEY[140];
- assign key141 = KEY[141];
- assign key142 = KEY[142];
- assign key143 = KEY[143];
- assign key144 = KEY[144];
- assign key145 = KEY[145];
- assign key146 = KEY[146];
- assign key147 = KEY[147];
- assign key148 = KEY[148];
- assign key149 = KEY[149];
- assign key150 = KEY[150];
- assign key151 = KEY[151];
- assign key152 = KEY[152];
- assign key153 = KEY[153];
- assign key154 = KEY[154];
- assign key155 = KEY[155];
- assign key156 = KEY[156];
- assign key157 = KEY[157];
- assign key158 = KEY[158];
- assign key159 = KEY[159];
- assign key160 = KEY[160];
- assign key161 = KEY[161];
- assign key162 = KEY[162];
- assign key163 = KEY[163];
- assign key164 = KEY[164];
- assign key165 = KEY[165];
- assign key166 = KEY[166];
- assign key167 = KEY[167];
- assign key168 = KEY[168];
- assign key169 = KEY[169];
- assign key170 = KEY[170];
- assign key171 = KEY[171];
- assign key172 = KEY[172];
- assign key173 = KEY[173];
- assign key174 = KEY[174];
- assign key175 = KEY[175];
- assign key176 = KEY[176];
- assign key177 = KEY[177];
- assign key178 = KEY[178];
- assign key179 = KEY[179];
- assign key180 = KEY[180];
- assign key181 = KEY[181];
- assign key182 = KEY[182];
- assign key183 = KEY[183];
- assign key184 = KEY[184];
- assign key185 = KEY[185];
- assign key186 = KEY[186];
- assign key187 = KEY[187];
- assign key188 = KEY[188];
- assign key189 = KEY[189];
- assign key190 = KEY[190];
- assign key191 = KEY[191];
- assign key192 = KEY[192];
- assign key193 = KEY[193];
- assign key194 = KEY[194];
- assign key195 = KEY[195];
- assign key196 = KEY[196];
- assign key197 = KEY[197];
- assign key198 = KEY[198];
- assign key199 = KEY[199];
- assign key200 = KEY[200];
- assign key201 = KEY[201];
- assign key202 = KEY[202];
- assign key203 = KEY[203];
- assign key204 = KEY[204];
- assign key205 = KEY[205];
- assign key206 = KEY[206];
- assign key207 = KEY[207];
- assign key208 = KEY[208];
- assign key209 = KEY[209];
- assign key210 = KEY[210];
- assign key211 = KEY[211];
- assign key212 = KEY[212];
- assign key213 = KEY[213];
- assign key214 = KEY[214];
- assign key215 = KEY[215];
- assign key216 = KEY[216];
- assign key217 = KEY[217];
- assign key218 = KEY[218];
- assign key219 = KEY[219];
- assign key220 = KEY[220];
- assign key221 = KEY[221];
- assign key222 = KEY[222];
- assign key223 = KEY[223];
- assign key224 = KEY[224];
- assign key225 = KEY[225];
- assign key226 = KEY[226];
- assign key227 = KEY[227];
- assign key228 = KEY[228];
- assign key229 = KEY[229];
- assign key230 = KEY[230];
- assign key231 = KEY[231];
- assign key232 = KEY[232];
- assign key233 = KEY[233];
- assign key234 = KEY[234];
- assign key235 = KEY[235];
- assign key236 = KEY[236];
- assign key237 = KEY[237];
- assign key238 = KEY[238];
- assign key239 = KEY[239];
- assign key240 = KEY[240];
- assign key241 = KEY[241];
- assign key242 = KEY[242];
- assign key243 = KEY[243];
- assign key244 = KEY[244];
- assign key245 = KEY[245];
- assign key246 = KEY[246];
- assign key247 = KEY[247];
- assign key248 = KEY[248];
- assign key249 = KEY[249];
- assign key250 = KEY[250];
- assign key251 = KEY[251];
- assign key252 = KEY[252];
- assign key253 = KEY[253];
- assign key254 = KEY[254];
- assign key255 = KEY[255];
- assign trn0 = TRN[0];
- assign trn1 = TRN[1];
- assign trn2 = TRN[2];
- assign trn3 = TRN[3];
- assign trn4 = TRN[4];
- assign trn5 = TRN[5];
- assign trn6 = TRN[6];
- assign trn7 = TRN[7];
- assign trn8 = TRN[8];
- assign trn9 = TRN[9];
- assign trn10 = TRN[10];
- assign trn11 = TRN[11];
- assign trn12 = TRN[12];
- assign trn13 = TRN[13];
- assign trn14 = TRN[14];
- assign trn15 = TRN[15];
- assign trn16 = TRN[16];
- assign trn17 = TRN[17];
- assign trn18 = TRN[18];
- assign trn19 = TRN[19];
- assign trn20 = TRN[20];
- assign trn21 = TRN[21];
- assign trn22 = TRN[22];
- assign trn23 = TRN[23];
- assign trn24 = TRN[24];
- assign trn25 = TRN[25];
- assign trn26 = TRN[26];
- assign trn27 = TRN[27];
- assign trn28 = TRN[28];
- assign trn29 = TRN[29];
- assign trn30 = TRN[30];
- assign trn31 = TRN[31];
- assign trn32 = TRN[32];
- assign trn33 = TRN[33];
- assign trn34 = TRN[34];
- assign trn35 = TRN[35];
- assign trn36 = TRN[36];
- assign trn37 = TRN[37];
- assign trn38 = TRN[38];
- assign trn39 = TRN[39];
- assign trn40 = TRN[40];
- assign trn41 = TRN[41];
- assign trn42 = TRN[42];
- assign trn43 = TRN[43];
- assign trn44 = TRN[44];
- assign trn45 = TRN[45];
- assign trn46 = TRN[46];
- assign trn47 = TRN[47];
- assign trn48 = TRN[48];
- assign trn49 = TRN[49];
- assign trn50 = TRN[50];
- assign trn51 = TRN[51];
- assign trn52 = TRN[52];
- assign trn53 = TRN[53];
- assign trn54 = TRN[54];
- assign trn55 = TRN[55];
- assign trn56 = TRN[56];
- assign trn57 = TRN[57];
- assign trn58 = TRN[58];
- assign trn59 = TRN[59];
- assign trn60 = TRN[60];
- assign trn61 = TRN[61];
- assign trn62 = TRN[62];
- assign trn63 = TRN[63];
- assign trn64 = TRN[64];
- assign trn65 = TRN[65];
- assign trn66 = TRN[66];
- assign trn67 = TRN[67];
- assign trn68 = TRN[68];
- assign trn69 = TRN[69];
- assign trn70 = TRN[70];
- assign trn71 = TRN[71];
- assign trn72 = TRN[72];
- assign trn73 = TRN[73];
- assign trn74 = TRN[74];
- assign trn75 = TRN[75];
- assign trn76 = TRN[76];
- assign trn77 = TRN[77];
- assign trn78 = TRN[78];
- assign trn79 = TRN[79];
- assign trn80 = TRN[80];
- assign trn81 = TRN[81];
- assign trn82 = TRN[82];
- assign trn83 = TRN[83];
- assign trn84 = TRN[84];
- assign trn85 = TRN[85];
- assign trn86 = TRN[86];
- assign trn87 = TRN[87];
- assign trn88 = TRN[88];
- assign trn89 = TRN[89];
- assign trn90 = TRN[90];
- assign trn91 = TRN[91];
- assign trn92 = TRN[92];
- assign trn93 = TRN[93];
- assign trn94 = TRN[94];
- assign trn95 = TRN[95];
- assign trn96 = TRN[96];
- assign trn97 = TRN[97];
- assign trn98 = TRN[98];
- assign trn99 = TRN[99];
- assign trn100 = TRN[100];
- assign trn101 = TRN[101];
- assign trn102 = TRN[102];
- assign trn103 = TRN[103];
- assign trn104 = TRN[104];
- assign trn105 = TRN[105];
- assign trn106 = TRN[106];
- assign trn107 = TRN[107];
- assign trn108 = TRN[108];
- assign trn109 = TRN[109];
- assign trn110 = TRN[110];
- assign trn111 = TRN[111];
- assign trn112 = TRN[112];
- assign trn113 = TRN[113];
- assign trn114 = TRN[114];
- assign trn115 = TRN[115];
- assign trn116 = TRN[116];
- assign trn117 = TRN[117];
- assign trn118 = TRN[118];
- assign trn119 = TRN[119];
- assign trn120 = TRN[120];
- assign trn121 = TRN[121];
- assign trn122 = TRN[122];
- assign trn123 = TRN[123];
- assign trn124 = TRN[124];
- assign trn125 = TRN[125];
- assign trn126 = TRN[126];
- assign trn127 = TRN[127];
- assign trn128 = TRN[128];
- assign trn129 = TRN[129];
- assign trn130 = TRN[130];
- assign trn131 = TRN[131];
- assign trn132 = TRN[132];
- assign trn133 = TRN[133];
- assign trn134 = TRN[134];
- assign trn135 = TRN[135];
- assign trn136 = TRN[136];
- assign trn137 = TRN[137];
- assign trn138 = TRN[138];
- assign trn139 = TRN[139];
- assign trn140 = TRN[140];
- assign trn141 = TRN[141];
- assign trn142 = TRN[142];
- assign trn143 = TRN[143];
- assign trn144 = TRN[144];
- assign trn145 = TRN[145];
- assign trn146 = TRN[146];
- assign trn147 = TRN[147];
- assign trn148 = TRN[148];
- assign trn149 = TRN[149];
- assign trn150 = TRN[150];
- assign trn151 = TRN[151];
- assign trn152 = TRN[152];
- assign trn153 = TRN[153];
- assign trn154 = TRN[154];
- assign trn155 = TRN[155];
- assign trn156 = TRN[156];
- assign trn157 = TRN[157];
- assign trn158 = TRN[158];
- assign trn159 = TRN[159];
- assign trn160 = TRN[160];
- assign trn161 = TRN[161];
- assign trn162 = TRN[162];
- assign trn163 = TRN[163];
- assign trn164 = TRN[164];
- assign trn165 = TRN[165];
- assign trn166 = TRN[166];
- assign trn167 = TRN[167];
- assign trn168 = TRN[168];
- assign trn169 = TRN[169];
- assign trn170 = TRN[170];
- assign trn171 = TRN[171];
- assign trn172 = TRN[172];
- assign trn173 = TRN[173];
- assign trn174 = TRN[174];
- assign trn175 = TRN[175];
- assign trn176 = TRN[176];
- assign trn177 = TRN[177];
- assign trn178 = TRN[178];
- assign trn179 = TRN[179];
- assign trn180 = TRN[180];
- assign trn181 = TRN[181];
- assign trn182 = TRN[182];
- assign trn183 = TRN[183];
- assign trn184 = TRN[184];
- assign trn185 = TRN[185];
- assign trn186 = TRN[186];
- assign trn187 = TRN[187];
- assign trn188 = TRN[188];
- assign trn189 = TRN[189];
- assign trn190 = TRN[190];
- assign trn191 = TRN[191];
- assign trn192 = TRN[192];
- assign trn193 = TRN[193];
- assign trn194 = TRN[194];
- assign trn195 = TRN[195];
- assign trn196 = TRN[196];
- assign trn197 = TRN[197];
- assign trn198 = TRN[198];
- assign trn199 = TRN[199];
- assign trn200 = TRN[200];
- assign trn201 = TRN[201];
- assign trn202 = TRN[202];
- assign trn203 = TRN[203];
- assign trn204 = TRN[204];
- assign trn205 = TRN[205];
- assign trn206 = TRN[206];
- assign trn207 = TRN[207];
- assign trn208 = TRN[208];
- assign trn209 = TRN[209];
- assign trn210 = TRN[210];
- assign trn211 = TRN[211];
- assign trn212 = TRN[212];
- assign trn213 = TRN[213];
- assign trn214 = TRN[214];
- assign trn215 = TRN[215];
- assign trn216 = TRN[216];
- assign trn217 = TRN[217];
- assign trn218 = TRN[218];
- assign trn219 = TRN[219];
- assign trn220 = TRN[220];
- assign trn221 = TRN[221];
- assign trn222 = TRN[222];
- assign trn223 = TRN[223];
- assign trn224 = TRN[224];
- assign trn225 = TRN[225];
- assign trn226 = TRN[226];
- assign trn227 = TRN[227];
- assign trn228 = TRN[228];
- assign trn229 = TRN[229];
- assign trn230 = TRN[230];
- assign trn231 = TRN[231];
- assign trn232 = TRN[232];
- assign trn233 = TRN[233];
- assign trn234 = TRN[234];
- assign trn235 = TRN[235];
- assign trn236 = TRN[236];
- assign trn237 = TRN[237];
- assign trn238 = TRN[238];
- assign trn239 = TRN[239];
- assign trn240 = TRN[240];
- assign trn241 = TRN[241];
- assign trn242 = TRN[242];
- assign trn243 = TRN[243];
- assign trn244 = TRN[244];
- assign trn245 = TRN[245];
- assign trn246 = TRN[246];
- assign trn247 = TRN[247];
- assign trn248 = TRN[248];
- assign trn249 = TRN[249];
- assign trn250 = TRN[250];
- assign trn251 = TRN[251];
- assign trn252 = TRN[252];
- assign trn253 = TRN[253];
- assign trn254 = TRN[254];
- assign trn255 = TRN[255];
- //output array assignment
- assign PO[0] = g10377;
- assign PO[1] = g10379;
- assign PO[2] = g10455;
- assign PO[3] = g10457;
- assign PO[4] = g10459;
- assign PO[5] = g10461;
- assign PO[6] = g10463;
- assign PO[7] = g10465;
- assign PO[8] = g10628;
- assign PO[9] = g10801;
- assign PO[10] = g11163;
- assign PO[11] = g11206;
- assign PO[12] = g11489;
- assign PO[13] = g1957;
- assign PO[14] = g2355;
- assign PO[15] = g2601;
- assign PO[16] = g2602;
- assign PO[17] = g2603;
- assign PO[18] = g2604;
- assign PO[19] = g2605;
- assign PO[20] = g2606;
- assign PO[21] = g2607;
- assign PO[22] = g2608;
- assign PO[23] = g2609;
- assign PO[24] = g2610;
- assign PO[25] = g2611;
- assign PO[26] = g2612;
- assign PO[27] = g2648;
- assign PO[28] = g2986;
- assign PO[29] = g3007;
- assign PO[30] = g3069;
- assign PO[31] = g3327;
- assign PO[32] = g4171;
- assign PO[33] = g4172;
- assign PO[34] = g4173;
- assign PO[35] = g4174;
- assign PO[36] = g4175;
- assign PO[37] = g4176;
- assign PO[38] = g4177;
- assign PO[39] = g4178;
- assign PO[40] = g4179;
- assign PO[41] = g4180;
- assign PO[42] = g4181;
- assign PO[43] = g4191;
- assign PO[44] = g4192;
- assign PO[45] = g4193;
- assign PO[46] = g4194;
- assign PO[47] = g4195;
- assign PO[48] = g4196;
- assign PO[49] = g4197;
- assign PO[50] = g4198;
- assign PO[51] = g4199;
- assign PO[52] = g4200;
- assign PO[53] = g4201;
- assign PO[54] = g4202;
- assign PO[55] = g4203;
- assign PO[56] = g4204;
- assign PO[57] = g4205;
- assign PO[58] = g4206;
- assign PO[59] = g4207;
- assign PO[60] = g4208;
- assign PO[61] = g4209;
- assign PO[62] = g4210;
- assign PO[63] = g4211;
- assign PO[64] = g4212;
- assign PO[65] = g4213;
- assign PO[66] = g4214;
- assign PO[67] = g4215;
- assign PO[68] = g4216;
- assign PO[69] = g4887;
- assign PO[70] = g4888;
- assign PO[71] = g5101;
- assign PO[72] = g5105;
- assign PO[73] = g5658;
- assign PO[74] = g5659;
- assign PO[75] = g5816;
- assign PO[76] = g6253;
- assign PO[77] = g6254;
- assign PO[78] = g6255;
- assign PO[79] = g6256;
- assign PO[80] = g6257;
- assign PO[81] = g6258;
- assign PO[82] = g6259;
- assign PO[83] = g6260;
- assign PO[84] = g6261;
- assign PO[85] = g6262;
- assign PO[86] = g6263;
- assign PO[87] = g6264;
- assign PO[88] = g6265;
- assign PO[89] = g6266;
- assign PO[90] = g6267;
- assign PO[91] = g6268;
- assign PO[92] = g6269;
- assign PO[93] = g6270;
- assign PO[94] = g6271;
- assign PO[95] = g6272;
- assign PO[96] = g6273;
- assign PO[97] = g6274;
- assign PO[98] = g6275;
- assign PO[99] = g6276;
- assign PO[100] = g6277;
- assign PO[101] = g6278;
- assign PO[102] = g6279;
- assign PO[103] = g6280;
- assign PO[104] = g6281;
- assign PO[105] = g6282;
- assign PO[106] = g6283;
- assign PO[107] = g6284;
- assign PO[108] = g6285;
- assign PO[109] = g6842;
- assign PO[110] = g6920;
- assign PO[111] = g6926;
- assign PO[112] = g6932;
- assign PO[113] = g6942;
- assign PO[114] = g6949;
- assign PO[115] = g6955;
- assign PO[116] = g7744;
- assign PO[117] = g8061;
- assign PO[118] = g8062;
- assign PO[119] = g8271;
- assign PO[120] = g8313;
- assign PO[121] = g8316;
- assign PO[122] = g8318;
- assign PO[123] = g8323;
- assign PO[124] = g8328;
- assign PO[125] = g8331;
- assign PO[126] = g8335;
- assign PO[127] = g8340;
- assign PO[128] = g8347;
- assign PO[129] = g8349;
- assign PO[130] = g8352;
- assign PO[131] = g8561;
- assign PO[132] = g8562;
- assign PO[133] = g8563;
- assign PO[134] = g8564;
- assign PO[135] = g8565;
- assign PO[136] = g8566;
- assign PO[137] = g8976;
- assign PO[138] = g8977;
- assign PO[139] = g8978;
- assign PO[140] = g8979;
- assign PO[141] = g8980;
- assign PO[142] = g8981;
- assign PO[143] = g8982;
- assign PO[144] = g8983;
- assign PO[145] = g8984;
- assign PO[146] = g8985;
- assign PO[147] = g8986;
- assign PO[148] = g9451;
- assign PO[149] = g9961;
- assign SO = test_so;
- s15850 dut (
- .g100(g100),
- .g101(g101),
- .g102(g102),
- .g103(g103),
- .g104(g104),
- .g109(g109),
- .g1170(g1170),
- .g1173(g1173),
- .g1176(g1176),
- .g1179(g1179),
- .g1182(g1182),
- .g1185(g1185),
- .g1188(g1188),
- .g1191(g1191),
- .g1194(g1194),
- .g1197(g1197),
- .g1200(g1200),
- .g1203(g1203),
- .g1696(g1696),
- .g1700(g1700),
- .g1712(g1712),
- .g18(g18),
- .g1960(g1960),
- .g1961(g1961),
- .g23(g23),
- .g27(g27),
- .g28(g28),
- .g29(g29),
- .g30(g30),
- .g31(g31),
- .g41(g41),
- .g42(g42),
- .g43(g43),
- .g44(g44),
- .g45(g45),
- .g46(g46),
- .g47(g47),
- .g48(g48),
- .g741(g741),
- .g742(g742),
- .g743(g743),
- .g744(g744),
- .g750(g750),
- .g82(g82),
- .g83(g83),
- .g84(g84),
- .g85(g85),
- .g86(g86),
- .g87(g87),
- .g872(g872),
- .g873(g873),
- .g877(g877),
- .g88(g88),
- .g881(g881),
- .g886(g886),
- .g889(g889),
- .g89(g89),
- .g892(g892),
- .g895(g895),
- .g898(g898),
- .g90(g90),
- .g901(g901),
- .g904(g904),
- .g907(g907),
- .g91(g91),
- .g910(g910),
- .g913(g913),
- .g916(g916),
- .g919(g919),
- .g92(g92),
- .g922(g922),
- .g925(g925),
- .g93(g93),
- .g94(g94),
- .g95(g95),
- .g96(g96),
- .g99(g99),
- .CK(CK),
- .test_si(test_si),
- .test_se(test_se),
- .key0(key0),
- .key1(key1),
- .key2(key2),
- .key3(key3),
- .key4(key4),
- .key5(key5),
- .key6(key6),
- .key7(key7),
- .key8(key8),
- .key9(key9),
- .key10(key10),
- .key11(key11),
- .key12(key12),
- .key13(key13),
- .key14(key14),
- .key15(key15),
- .key16(key16),
- .key17(key17),
- .key18(key18),
- .key19(key19),
- .key20(key20),
- .key21(key21),
- .key22(key22),
- .key23(key23),
- .key24(key24),
- .key25(key25),
- .key26(key26),
- .key27(key27),
- .key28(key28),
- .key29(key29),
- .key30(key30),
- .key31(key31),
- .key32(key32),
- .key33(key33),
- .key34(key34),
- .key35(key35),
- .key36(key36),
- .key37(key37),
- .key38(key38),
- .key39(key39),
- .key40(key40),
- .key41(key41),
- .key42(key42),
- .key43(key43),
- .key44(key44),
- .key45(key45),
- .key46(key46),
- .key47(key47),
- .key48(key48),
- .key49(key49),
- .key50(key50),
- .key51(key51),
- .key52(key52),
- .key53(key53),
- .key54(key54),
- .key55(key55),
- .key56(key56),
- .key57(key57),
- .key58(key58),
- .key59(key59),
- .key60(key60),
- .key61(key61),
- .key62(key62),
- .key63(key63),
- .key64(key64),
- .key65(key65),
- .key66(key66),
- .key67(key67),
- .key68(key68),
- .key69(key69),
- .key70(key70),
- .key71(key71),
- .key72(key72),
- .key73(key73),
- .key74(key74),
- .key75(key75),
- .key76(key76),
- .key77(key77),
- .key78(key78),
- .key79(key79),
- .key80(key80),
- .key81(key81),
- .key82(key82),
- .key83(key83),
- .key84(key84),
- .key85(key85),
- .key86(key86),
- .key87(key87),
- .key88(key88),
- .key89(key89),
- .key90(key90),
- .key91(key91),
- .key92(key92),
- .key93(key93),
- .key94(key94),
- .key95(key95),
- .key96(key96),
- .key97(key97),
- .key98(key98),
- .key99(key99),
- .key100(key100),
- .key101(key101),
- .key102(key102),
- .key103(key103),
- .key104(key104),
- .key105(key105),
- .key106(key106),
- .key107(key107),
- .key108(key108),
- .key109(key109),
- .key110(key110),
- .key111(key111),
- .key112(key112),
- .key113(key113),
- .key114(key114),
- .key115(key115),
- .key116(key116),
- .key117(key117),
- .key118(key118),
- .key119(key119),
- .key120(key120),
- .key121(key121),
- .key122(key122),
- .key123(key123),
- .key124(key124),
- .key125(key125),
- .key126(key126),
- .key127(key127),
- .key128(key128),
- .key129(key129),
- .key130(key130),
- .key131(key131),
- .key132(key132),
- .key133(key133),
- .key134(key134),
- .key135(key135),
- .key136(key136),
- .key137(key137),
- .key138(key138),
- .key139(key139),
- .key140(key140),
- .key141(key141),
- .key142(key142),
- .key143(key143),
- .key144(key144),
- .key145(key145),
- .key146(key146),
- .key147(key147),
- .key148(key148),
- .key149(key149),
- .key150(key150),
- .key151(key151),
- .key152(key152),
- .key153(key153),
- .key154(key154),
- .key155(key155),
- .key156(key156),
- .key157(key157),
- .key158(key158),
- .key159(key159),
- .key160(key160),
- .key161(key161),
- .key162(key162),
- .key163(key163),
- .key164(key164),
- .key165(key165),
- .key166(key166),
- .key167(key167),
- .key168(key168),
- .key169(key169),
- .key170(key170),
- .key171(key171),
- .key172(key172),
- .key173(key173),
- .key174(key174),
- .key175(key175),
- .key176(key176),
- .key177(key177),
- .key178(key178),
- .key179(key179),
- .key180(key180),
- .key181(key181),
- .key182(key182),
- .key183(key183),
- .key184(key184),
- .key185(key185),
- .key186(key186),
- .key187(key187),
- .key188(key188),
- .key189(key189),
- .key190(key190),
- .key191(key191),
- .key192(key192),
- .key193(key193),
- .key194(key194),
- .key195(key195),
- .key196(key196),
- .key197(key197),
- .key198(key198),
- .key199(key199),
- .key200(key200),
- .key201(key201),
- .key202(key202),
- .key203(key203),
- .key204(key204),
- .key205(key205),
- .key206(key206),
- .key207(key207),
- .key208(key208),
- .key209(key209),
- .key210(key210),
- .key211(key211),
- .key212(key212),
- .key213(key213),
- .key214(key214),
- .key215(key215),
- .key216(key216),
- .key217(key217),
- .key218(key218),
- .key219(key219),
- .key220(key220),
- .key221(key221),
- .key222(key222),
- .key223(key223),
- .key224(key224),
- .key225(key225),
- .key226(key226),
- .key227(key227),
- .key228(key228),
- .key229(key229),
- .key230(key230),
- .key231(key231),
- .key232(key232),
- .key233(key233),
- .key234(key234),
- .key235(key235),
- .key236(key236),
- .key237(key237),
- .key238(key238),
- .key239(key239),
- .key240(key240),
- .key241(key241),
- .key242(key242),
- .key243(key243),
- .key244(key244),
- .key245(key245),
- .key246(key246),
- .key247(key247),
- .key248(key248),
- .key249(key249),
- .key250(key250),
- .key251(key251),
- .key252(key252),
- .key253(key253),
- .key254(key254),
- .key255(key255),
- .trn0(trn0),
- .trn1(trn1),
- .trn2(trn2),
- .trn3(trn3),
- .trn4(trn4),
- .trn5(trn5),
- .trn6(trn6),
- .trn7(trn7),
- .trn8(trn8),
- .trn9(trn9),
- .trn10(trn10),
- .trn11(trn11),
- .trn12(trn12),
- .trn13(trn13),
- .trn14(trn14),
- .trn15(trn15),
- .trn16(trn16),
- .trn17(trn17),
- .trn18(trn18),
- .trn19(trn19),
- .trn20(trn20),
- .trn21(trn21),
- .trn22(trn22),
- .trn23(trn23),
- .trn24(trn24),
- .trn25(trn25),
- .trn26(trn26),
- .trn27(trn27),
- .trn28(trn28),
- .trn29(trn29),
- .trn30(trn30),
- .trn31(trn31),
- .trn32(trn32),
- .trn33(trn33),
- .trn34(trn34),
- .trn35(trn35),
- .trn36(trn36),
- .trn37(trn37),
- .trn38(trn38),
- .trn39(trn39),
- .trn40(trn40),
- .trn41(trn41),
- .trn42(trn42),
- .trn43(trn43),
- .trn44(trn44),
- .trn45(trn45),
- .trn46(trn46),
- .trn47(trn47),
- .trn48(trn48),
- .trn49(trn49),
- .trn50(trn50),
- .trn51(trn51),
- .trn52(trn52),
- .trn53(trn53),
- .trn54(trn54),
- .trn55(trn55),
- .trn56(trn56),
- .trn57(trn57),
- .trn58(trn58),
- .trn59(trn59),
- .trn60(trn60),
- .trn61(trn61),
- .trn62(trn62),
- .trn63(trn63),
- .trn64(trn64),
- .trn65(trn65),
- .trn66(trn66),
- .trn67(trn67),
- .trn68(trn68),
- .trn69(trn69),
- .trn70(trn70),
- .trn71(trn71),
- .trn72(trn72),
- .trn73(trn73),
- .trn74(trn74),
- .trn75(trn75),
- .trn76(trn76),
- .trn77(trn77),
- .trn78(trn78),
- .trn79(trn79),
- .trn80(trn80),
- .trn81(trn81),
- .trn82(trn82),
- .trn83(trn83),
- .trn84(trn84),
- .trn85(trn85),
- .trn86(trn86),
- .trn87(trn87),
- .trn88(trn88),
- .trn89(trn89),
- .trn90(trn90),
- .trn91(trn91),
- .trn92(trn92),
- .trn93(trn93),
- .trn94(trn94),
- .trn95(trn95),
- .trn96(trn96),
- .trn97(trn97),
- .trn98(trn98),
- .trn99(trn99),
- .trn100(trn100),
- .trn101(trn101),
- .trn102(trn102),
- .trn103(trn103),
- .trn104(trn104),
- .trn105(trn105),
- .trn106(trn106),
- .trn107(trn107),
- .trn108(trn108),
- .trn109(trn109),
- .trn110(trn110),
- .trn111(trn111),
- .trn112(trn112),
- .trn113(trn113),
- .trn114(trn114),
- .trn115(trn115),
- .trn116(trn116),
- .trn117(trn117),
- .trn118(trn118),
- .trn119(trn119),
- .trn120(trn120),
- .trn121(trn121),
- .trn122(trn122),
- .trn123(trn123),
- .trn124(trn124),
- .trn125(trn125),
- .trn126(trn126),
- .trn127(trn127),
- .trn128(trn128),
- .trn129(trn129),
- .trn130(trn130),
- .trn131(trn131),
- .trn132(trn132),
- .trn133(trn133),
- .trn134(trn134),
- .trn135(trn135),
- .trn136(trn136),
- .trn137(trn137),
- .trn138(trn138),
- .trn139(trn139),
- .trn140(trn140),
- .trn141(trn141),
- .trn142(trn142),
- .trn143(trn143),
- .trn144(trn144),
- .trn145(trn145),
- .trn146(trn146),
- .trn147(trn147),
- .trn148(trn148),
- .trn149(trn149),
- .trn150(trn150),
- .trn151(trn151),
- .trn152(trn152),
- .trn153(trn153),
- .trn154(trn154),
- .trn155(trn155),
- .trn156(trn156),
- .trn157(trn157),
- .trn158(trn158),
- .trn159(trn159),
- .trn160(trn160),
- .trn161(trn161),
- .trn162(trn162),
- .trn163(trn163),
- .trn164(trn164),
- .trn165(trn165),
- .trn166(trn166),
- .trn167(trn167),
- .trn168(trn168),
- .trn169(trn169),
- .trn170(trn170),
- .trn171(trn171),
- .trn172(trn172),
- .trn173(trn173),
- .trn174(trn174),
- .trn175(trn175),
- .trn176(trn176),
- .trn177(trn177),
- .trn178(trn178),
- .trn179(trn179),
- .trn180(trn180),
- .trn181(trn181),
- .trn182(trn182),
- .trn183(trn183),
- .trn184(trn184),
- .trn185(trn185),
- .trn186(trn186),
- .trn187(trn187),
- .trn188(trn188),
- .trn189(trn189),
- .trn190(trn190),
- .trn191(trn191),
- .trn192(trn192),
- .trn193(trn193),
- .trn194(trn194),
- .trn195(trn195),
- .trn196(trn196),
- .trn197(trn197),
- .trn198(trn198),
- .trn199(trn199),
- .trn200(trn200),
- .trn201(trn201),
- .trn202(trn202),
- .trn203(trn203),
- .trn204(trn204),
- .trn205(trn205),
- .trn206(trn206),
- .trn207(trn207),
- .trn208(trn208),
- .trn209(trn209),
- .trn210(trn210),
- .trn211(trn211),
- .trn212(trn212),
- .trn213(trn213),
- .trn214(trn214),
- .trn215(trn215),
- .trn216(trn216),
- .trn217(trn217),
- .trn218(trn218),
- .trn219(trn219),
- .trn220(trn220),
- .trn221(trn221),
- .trn222(trn222),
- .trn223(trn223),
- .trn224(trn224),
- .trn225(trn225),
- .trn226(trn226),
- .trn227(trn227),
- .trn228(trn228),
- .trn229(trn229),
- .trn230(trn230),
- .trn231(trn231),
- .trn232(trn232),
- .trn233(trn233),
- .trn234(trn234),
- .trn235(trn235),
- .trn236(trn236),
- .trn237(trn237),
- .trn238(trn238),
- .trn239(trn239),
- .trn240(trn240),
- .trn241(trn241),
- .trn242(trn242),
- .trn243(trn243),
- .trn244(trn244),
- .trn245(trn245),
- .trn246(trn246),
- .trn247(trn247),
- .trn248(trn248),
- .trn249(trn249),
- .trn250(trn250),
- .trn251(trn251),
- .trn252(trn252),
- .trn253(trn253),
- .trn254(trn254),
- .trn255(trn255),
- .g10377(g10377),
- .g10379(g10379),
- .g10455(g10455),
- .g10457(g10457),
- .g10459(g10459),
- .g10461(g10461),
- .g10463(g10463),
- .g10465(g10465),
- .g10628(g10628),
- .g10801(g10801),
- .g11163(g11163),
- .g11206(g11206),
- .g11489(g11489),
- .g1957(g1957),
- .g2355(g2355),
- .g2601(g2601),
- .g2602(g2602),
- .g2603(g2603),
- .g2604(g2604),
- .g2605(g2605),
- .g2606(g2606),
- .g2607(g2607),
- .g2608(g2608),
- .g2609(g2609),
- .g2610(g2610),
- .g2611(g2611),
- .g2612(g2612),
- .g2648(g2648),
- .g2986(g2986),
- .g3007(g3007),
- .g3069(g3069),
- .g3327(g3327),
- .g4171(g4171),
- .g4172(g4172),
- .g4173(g4173),
- .g4174(g4174),
- .g4175(g4175),
- .g4176(g4176),
- .g4177(g4177),
- .g4178(g4178),
- .g4179(g4179),
- .g4180(g4180),
- .g4181(g4181),
- .g4191(g4191),
- .g4192(g4192),
- .g4193(g4193),
- .g4194(g4194),
- .g4195(g4195),
- .g4196(g4196),
- .g4197(g4197),
- .g4198(g4198),
- .g4199(g4199),
- .g4200(g4200),
- .g4201(g4201),
- .g4202(g4202),
- .g4203(g4203),
- .g4204(g4204),
- .g4205(g4205),
- .g4206(g4206),
- .g4207(g4207),
- .g4208(g4208),
- .g4209(g4209),
- .g4210(g4210),
- .g4211(g4211),
- .g4212(g4212),
- .g4213(g4213),
- .g4214(g4214),
- .g4215(g4215),
- .g4216(g4216),
- .g4887(g4887),
- .g4888(g4888),
- .g5101(g5101),
- .g5105(g5105),
- .g5658(g5658),
- .g5659(g5659),
- .g5816(g5816),
- .g6253(g6253),
- .g6254(g6254),
- .g6255(g6255),
- .g6256(g6256),
- .g6257(g6257),
- .g6258(g6258),
- .g6259(g6259),
- .g6260(g6260),
- .g6261(g6261),
- .g6262(g6262),
- .g6263(g6263),
- .g6264(g6264),
- .g6265(g6265),
- .g6266(g6266),
- .g6267(g6267),
- .g6268(g6268),
- .g6269(g6269),
- .g6270(g6270),
- .g6271(g6271),
- .g6272(g6272),
- .g6273(g6273),
- .g6274(g6274),
- .g6275(g6275),
- .g6276(g6276),
- .g6277(g6277),
- .g6278(g6278),
- .g6279(g6279),
- .g6280(g6280),
- .g6281(g6281),
- .g6282(g6282),
- .g6283(g6283),
- .g6284(g6284),
- .g6285(g6285),
- .g6842(g6842),
- .g6920(g6920),
- .g6926(g6926),
- .g6932(g6932),
- .g6942(g6942),
- .g6949(g6949),
- .g6955(g6955),
- .g7744(g7744),
- .g8061(g8061),
- .g8062(g8062),
- .g8271(g8271),
- .g8313(g8313),
- .g8316(g8316),
- .g8318(g8318),
- .g8323(g8323),
- .g8328(g8328),
- .g8331(g8331),
- .g8335(g8335),
- .g8340(g8340),
- .g8347(g8347),
- .g8349(g8349),
- .g8352(g8352),
- .g8561(g8561),
- .g8562(g8562),
- .g8563(g8563),
- .g8564(g8564),
- .g8565(g8565),
- .g8566(g8566),
- .g8976(g8976),
- .g8977(g8977),
- .g8978(g8978),
- .g8979(g8979),
- .g8980(g8980),
- .g8981(g8981),
- .g8982(g8982),
- .g8983(g8983),
- .g8984(g8984),
- .g8985(g8985),
- .g8986(g8986),
- .g9451(g9451),
- .g9961(g9961),
- .test_so(test_so));
- reg [76:0] data [1023:0];
- reg [255:0] keys [1023:0];
- reg [255:0] trns [1023:0];
- initial $readmemb("patt.txt",data);
- initial $readmemb("keys.txt",keys);
- initial $readmemb("trns.txt",trns);
- initial begin
- integer file = $fopen("output.txt","w");
- for (integer i = 0; i < 32; i = i + 1) begin
- //$fdisplay(file,"Chip %d",i);
- PI = 77'h0;
- KEY = keys[i];
- TRN = trns[i];
- CLK = 1'b0;
- SI = 1'b0;
- SE = 1'b0;
- #10;
- SI = 1'b0;
- SE = 1'b1;
- for (integer j = 0; j < 442; j = j + 1) begin
- #10 CLK = 1'b1;
- #10 CLK = 1'b0;
- end
- SE = 1'b0;
- #10;
- //$fdisplay(file,"%b",PO);
- for (integer j = 0; j < 1024; j = j + 1) begin
- PI = data[j];
- #10 CLK = 1'b1;
- #10 CLK = 1'b0;
- //$fdisplay(file,"%b",PO);
- end
- $fdisplay(file,"%b",PO);
- end
- end
- endmodule
Add Comment
Please, Sign In to add comment