Advertisement
Guest User

Untitled

a guest
Aug 24th, 2021
571
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 464.23 KB | None | 0 0
  1. INFO:SoC: __ _ __ _ __
  2. INFO:SoC: / / (_) /____ | |/_/
  3. INFO:SoC: / /__/ / __/ -_)> <
  4. INFO:SoC: /____/_/\__/\__/_/|_|
  5. INFO:SoC: Build your hardware, easily!
  6. INFO:SoC:--------------------------------------------------------------------------------
  7. INFO:SoC:Creating SoC... (2021-08-24 15:47:57)
  8. INFO:SoC:--------------------------------------------------------------------------------
  9. INFO:SoC:FPGA device : xc7a200t-fbg484-2.
  10. INFO:SoC:System clock: 100.00MHz.
  11. INFO:SoCBusHandler:Creating Bus Handler...
  12. INFO:SoCBusHandler:32-bit wishbone Bus, 4.0GiB Address Space.
  13. INFO:SoCBusHandler:Adding reserved Bus Regions...
  14. INFO:SoCBusHandler:Bus Handler created.
  15. INFO:SoCCSRHandler:Creating CSR Handler...
  16. INFO:SoCCSRHandler:32-bit CSR Bus, 32-bit Aligned, 16.0KiB Address Space, 2048B Paging, big Ordering (Up to 32 Locations).
  17. INFO:SoCCSRHandler:Adding reserved CSRs...
  18. INFO:SoCCSRHandler:CSR Handler created.
  19. INFO:SoCIRQHandler:Creating IRQ Handler...
  20. INFO:SoCIRQHandler:IRQ Handler (up to 32 Locations).
  21. INFO:SoCIRQHandler:Adding reserved IRQs...
  22. INFO:SoCIRQHandler:IRQ Handler created.
  23. INFO:SoC:--------------------------------------------------------------------------------
  24. INFO:SoC:Initial SoC:
  25. INFO:SoC:--------------------------------------------------------------------------------
  26. INFO:SoC:32-bit wishbone Bus, 4.0GiB Address Space.
  27. INFO:SoC:32-bit CSR Bus, 32-bit Aligned, 16.0KiB Address Space, 2048B Paging, big Ordering (Up to 32 Locations).
  28. INFO:SoC:IRQ Handler (up to 32 Locations).
  29. INFO:SoC:--------------------------------------------------------------------------------
  30. INFO:SoCBusHandler:io0 Region added at Origin: 0x80000000, Size: 0x80000000, Mode: RW, Cached: False Linker: False.
  31. INFO:SoC:CPU overriding rom mapping from 0x0 to 0x0.
  32. INFO:SoC:CPU overriding sram mapping from 0x1000000 to 0x10000000.
  33. INFO:SoC:CPU overriding main_ram mapping from 0x40000000 to 0x40000000.
  34. INFO:SoCBusHandler:cpu_bus0 added as Bus Master.
  35. INFO:SoCBusHandler:cpu_bus1 added as Bus Master.
  36. INFO:SoCBusHandler:rom Region added at Origin: 0x00000000, Size: 0x00020000, Mode: R, Cached: True Linker: False.
  37. INFO:SoCBusHandler:rom added as Bus Slave.
  38. INFO:SoC:RAM rom added Origin: 0x00000000, Size: 0x00020000, Mode: R, Cached: True Linker: False.
  39. INFO:SoCBusHandler:sram Region added at Origin: 0x10000000, Size: 0x00002000, Mode: RW, Cached: True Linker: False.
  40. INFO:SoCBusHandler:sram added as Bus Slave.
  41. INFO:SoC:RAM sram added Origin: 0x10000000, Size: 0x00002000, Mode: RW, Cached: True Linker: False.
  42. INFO:SoCIRQHandler:uart IRQ allocated at Location 0.
  43. INFO:SoCIRQHandler:timer0 IRQ allocated at Location 1.
  44. INFO:S7PLL:Creating S7PLL, speedgrade -1.
  45. INFO:S7PLL:Registering Differential ClkIn of 200.00MHz.
  46. INFO:S7PLL:Creating ClkOut0 sys of 100.00MHz (+-10000.00ppm).
  47. INFO:S7PLL:Creating ClkOut1 sys4x of 400.00MHz (+-10000.00ppm).
  48. INFO:S7PLL:Creating ClkOut2 sys4x_dqs of 400.00MHz (+-10000.00ppm).
  49. INFO:S7PLL:Creating ClkOut3 idelay of 200.00MHz (+-10000.00ppm).
  50. INFO:SoCBusHandler:main_ram Region added at Origin: 0x40000000, Size: 0x40000000, Mode: RW, Cached: True Linker: False.
  51. INFO:SoCBusHandler:main_ram added as Bus Slave.
  52. INFO:SoCBusHandler:master2 added as Bus Master.
  53. INFO:S7PLL:Config:
  54. divclk_divide : 1
  55. clkout0_freq : 100.00MHz
  56. clkout0_divide: 16
  57. clkout0_phase : 0.00°
  58. clkout1_freq : 400.00MHz
  59. clkout1_divide: 4
  60. clkout1_phase : 0.00°
  61. clkout2_freq : 400.00MHz
  62. clkout2_divide: 4
  63. clkout2_phase : 90.00°
  64. clkout3_freq : 200.00MHz
  65. clkout3_divide: 8
  66. clkout3_phase : 0.00°
  67. vco : 1600.00MHz
  68. clkfbout_mult : 8
  69. INFO:SoCBusHandler:csr Region added at Origin: 0xf0000000, Size: 0x00010000, Mode: RW, Cached: False Linker: False.
  70. INFO:SoCBusHandler:csr added as Bus Slave.
  71. INFO:SoCCSRHandler:bridge added as CSR Master.
  72. INFO:SoCBusHandler:Interconnect: InterconnectShared (3 <-> 4).
  73. INFO:SoCCSRHandler:ctrl CSR allocated at Location 0.
  74. INFO:SoCCSRHandler:ddrphy CSR allocated at Location 1.
  75. INFO:SoCCSRHandler:flash CSR allocated at Location 2.
  76. INFO:SoCCSRHandler:flash_cs_n CSR allocated at Location 3.
  77. INFO:SoCCSRHandler:icap CSR allocated at Location 4.
  78. INFO:SoCCSRHandler:identifier_mem CSR allocated at Location 5.
  79. INFO:SoCCSRHandler:leds CSR allocated at Location 6.
  80. INFO:SoCCSRHandler:pcie_dma0 CSR allocated at Location 7.
  81. INFO:SoCCSRHandler:pcie_msi CSR allocated at Location 8.
  82. INFO:SoCCSRHandler:pcie_phy CSR allocated at Location 9.
  83. INFO:SoCCSRHandler:sdram CSR allocated at Location 10.
  84. INFO:SoCCSRHandler:timer0 CSR allocated at Location 11.
  85. INFO:SoCCSRHandler:uart CSR allocated at Location 12.
  86. INFO:SoC:--------------------------------------------------------------------------------
  87. INFO:SoC:Finalized SoC:
  88. INFO:SoC:--------------------------------------------------------------------------------
  89. INFO:SoC:32-bit wishbone Bus, 4.0GiB Address Space.
  90. IO Regions: (1)
  91. io0 : Origin: 0x80000000, Size: 0x80000000, Mode: RW, Cached: False Linker: False
  92. Bus Regions: (4)
  93. rom : Origin: 0x00000000, Size: 0x00020000, Mode: R, Cached: True Linker: False
  94. sram : Origin: 0x10000000, Size: 0x00002000, Mode: RW, Cached: True Linker: False
  95. main_ram : Origin: 0x40000000, Size: 0x40000000, Mode: RW, Cached: True Linker: False
  96. csr : Origin: 0xf0000000, Size: 0x00010000, Mode: RW, Cached: False Linker: False
  97. Bus Masters: (3)
  98. - cpu_bus0
  99. - cpu_bus1
  100. - master2
  101. Bus Slaves: (4)
  102. - rom
  103. - sram
  104. - main_ram
  105. - csr
  106. INFO:SoC:32-bit CSR Bus, 32-bit Aligned, 16.0KiB Address Space, 2048B Paging, big Ordering (Up to 32 Locations).
  107. CSR Locations: (13)
  108. - ctrl : 0
  109. - ddrphy : 1
  110. - flash : 2
  111. - flash_cs_n : 3
  112. - icap : 4
  113. - identifier_mem : 5
  114. - leds : 6
  115. - pcie_dma0 : 7
  116. - pcie_msi : 8
  117. - pcie_phy : 9
  118. - sdram : 10
  119. - timer0 : 11
  120. - uart : 12
  121. INFO:SoC:IRQ Handler (up to 32 Locations).
  122. IRQ Locations: (2)
  123. - uart : 0
  124. - timer0 : 1
  125. INFO:SoC:--------------------------------------------------------------------------------
  126. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libcompiler_rt'
  127. make: Nothing to be done for 'all'.
  128. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libcompiler_rt'
  129. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libbase'
  130. CC exception.o
  131. CC console.o
  132. CC system.o
  133. CC id.o
  134. CC uart.o
  135. CC time.o
  136. CC spiflash.o
  137. CC i2c.o
  138. CC memtest.o
  139. CC sim_debug.o
  140. AR libbase.a
  141. AR libbase-nofloat.a
  142. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libbase'
  143. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libfatfs'
  144. make: Nothing to be done for 'all'.
  145. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libfatfs'
  146. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitespi'
  147. CC spiflash.o
  148. AR liblitespi.a
  149. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitespi'
  150. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitedram'
  151. CC sdram.o
  152. CC bist.o
  153. CC sdram_dbg.o
  154. AR liblitedram.a
  155. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitedram'
  156. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libliteeth'
  157. CC udp.o
  158. CC mdio.o
  159. AR libliteeth.a
  160. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/libliteeth'
  161. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitesdcard'
  162. CC sdcard.o
  163. CC spisdcard.o
  164. AR liblitesdcard.a
  165. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitesdcard'
  166. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitesata'
  167. CC sata.o
  168. AR liblitesata.a
  169. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/liblitesata'
  170. make: Entering directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/bios'
  171. CC isr.o
  172. CC boot.o
  173. CC cmd_bios.o
  174. CC cmd_mem.o
  175. CC cmd_boot.o
  176. CC cmd_i2c.o
  177. CC cmd_spiflash.o
  178. CC cmd_litedram.o
  179. CC cmd_liteeth.o
  180. CC cmd_litesdcard.o
  181. CC cmd_litesata.o
  182. CC main.o
  183. CC bios.elf
  184. chmod -x bios.elf
  185. OBJCOPY bios.bin
  186. chmod -x bios.bin
  187. python3 -m litex.soc.software.mkmscimg bios.bin --little
  188. python3 -m litex.soc.software.memusage bios.elf /home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/bios/../include/generated/regions.ld riscv64-unknown-elf
  189.  
  190. ROM usage: 26.87KiB (20.99%)
  191. RAM usage: 1.69KiB (21.09%)
  192.  
  193. make: Leaving directory '/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/software/bios'
  194. INFO:SoC:Initializing ROM rom with contents (Size: 0x6b80).
  195. INFO:SoC:Auto-Resizing ROM rom from 0x20000 to 0x6b80.
  196.  
  197. ****** Vivado v2020.2 (64-bit)
  198. **** SW Build 3064766 on Wed Nov 18 09:12:47 MST 2020
  199. **** IP Build 3064653 on Wed Nov 18 14:17:31 MST 2020
  200. ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
  201.  
  202. source sqrl_acorn.tcl
  203. # create_project -force -name sqrl_acorn -part xc7a200t-fbg484-2
  204. # set_msg_config -id {Common 17-55} -new_severity {Warning}
  205. # read_verilog {/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v}
  206. # read_verilog {/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_pipe_clock.v}
  207. # read_verilog {/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7_support.v}
  208. # read_verilog {/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v}
  209. # read_ip {/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7.xci}
  210. INFO: [IP_Flow 19-234] Refreshing IP repositories
  211. INFO: [IP_Flow 19-1704] No user IP repositories specified
  212. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2020.2/data/ip'.
  213. # upgrade_ip [get_ips pcie_s7]
  214. WARNING: [Coretcl 2-1042] No IP was identified for upgrade.
  215. # generate_target all [get_ips pcie_s7]
  216. # synth_ip [get_ips pcie_s7] -force
  217. CRITICAL WARNING: [Vivado 12-5447] synth_ip is not supported in project mode, please use non-project mode.
  218. INFO: [IP_Flow 19-1686] Generating 'Instantiation Template' target for IP 'pcie_s7'...
  219. INFO: [IP_Flow 19-1686] Generating 'Synthesis' target for IP 'pcie_s7'...
  220. INFO: [IP_Flow 19-1686] Generating 'Simulation' target for IP 'pcie_s7'...
  221. INFO: [IP_Flow 19-1686] Generating 'Change Log' target for IP 'pcie_s7'...
  222. INFO: [IP_Flow 19-234] Refreshing IP repositories
  223. INFO: [IP_Flow 19-1704] No user IP repositories specified
  224. INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2020.2/data/ip'.
  225. Command: synth_design -top pcie_s7 -part xc7a200tfbg484-2 -mode out_of_context
  226. Starting synth_design
  227. Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
  228. INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
  229. INFO: [Device 21-403] Loading part xc7a200tfbg484-2
  230. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
  231. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
  232. INFO: [Synth 8-7075] Helper process launched with PID 11039
  233. ---------------------------------------------------------------------------------
  234. Starting RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 2338.488 ; gain = 0.000 ; free physical = 30361 ; free virtual = 59955
  235. ---------------------------------------------------------------------------------
  236. INFO: [Synth 8-6157] synthesizing module 'pcie_s7' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7.v:66]
  237. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie2_top' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie2_top.v:59]
  238. Parameter c_component_name bound to: pcie - type: string
  239. Parameter dev_port_type bound to: 0000 - type: string
  240. Parameter c_dev_port_type bound to: 0 - type: string
  241. Parameter c_header_type bound to: 00 - type: string
  242. Parameter c_upstream_facing bound to: TRUE - type: string
  243. Parameter max_lnk_wdt bound to: 000100 - type: string
  244. Parameter max_lnk_spd bound to: 2 - type: string
  245. Parameter c_gen1 bound to: 1'b1
  246. Parameter c_int_width bound to: 64 - type: integer
  247. Parameter pci_exp_int_freq bound to: 2 - type: integer
  248. Parameter c_pcie_fast_config bound to: 0 - type: integer
  249. Parameter bar_0 bound to: FFF00000 - type: string
  250. Parameter bar_1 bound to: 00000000 - type: string
  251. Parameter bar_2 bound to: 00000000 - type: string
  252. Parameter bar_3 bound to: 00000000 - type: string
  253. Parameter bar_4 bound to: 00000000 - type: string
  254. Parameter bar_5 bound to: 00000000 - type: string
  255. Parameter xrom_bar bound to: 00000000 - type: string
  256. Parameter cost_table bound to: 1 - type: integer
  257. Parameter ven_id bound to: 10EE - type: string
  258. Parameter dev_id bound to: 7024 - type: string
  259. Parameter rev_id bound to: 00 - type: string
  260. Parameter subsys_ven_id bound to: 10EE - type: string
  261. Parameter subsys_id bound to: 0007 - type: string
  262. Parameter class_code bound to: 058000 - type: string
  263. Parameter cardbus_cis_ptr bound to: 00000000 - type: string
  264. Parameter cap_ver bound to: 2 - type: string
  265. Parameter c_pcie_cap_slot_implemented bound to: FALSE - type: string
  266. Parameter mps bound to: 010 - type: string
  267. Parameter cmps bound to: 2 - type: string
  268. Parameter ext_tag_fld_sup bound to: FALSE - type: string
  269. Parameter c_dev_control_ext_tag_default bound to: FALSE - type: string
  270. Parameter phantm_func_sup bound to: 00 - type: string
  271. Parameter c_phantom_functions bound to: 0 - type: string
  272. Parameter ep_l0s_accpt_lat bound to: 000 - type: string
  273. Parameter c_ep_l0s_accpt_lat bound to: 0 - type: string
  274. Parameter ep_l1_accpt_lat bound to: 111 - type: string
  275. Parameter c_ep_l1_accpt_lat bound to: 7 - type: string
  276. Parameter c_cpl_timeout_disable_sup bound to: FALSE - type: string
  277. Parameter c_cpl_timeout_range bound to: 0010 - type: string
  278. Parameter c_cpl_timeout_ranges_sup bound to: 2 - type: string
  279. Parameter c_buf_opt_bma bound to: FALSE - type: string
  280. Parameter c_perf_level_high bound to: TRUE - type: string
  281. Parameter c_tx_last_tlp bound to: 29 - type: string
  282. Parameter c_rx_ram_limit bound to: 7FF - type: string
  283. Parameter c_fc_ph bound to: 4 - type: string
  284. Parameter c_fc_pd bound to: 64 - type: string
  285. Parameter c_fc_nph bound to: 4 - type: string
  286. Parameter c_fc_npd bound to: 8 - type: string
  287. Parameter c_fc_cplh bound to: 72 - type: string
  288. Parameter c_fc_cpld bound to: 850 - type: string
  289. Parameter c_cpl_inf bound to: TRUE - type: string
  290. Parameter c_cpl_infinite bound to: TRUE - type: string
  291. Parameter c_surprise_dn_err_cap bound to: FALSE - type: string
  292. Parameter c_dll_lnk_actv_cap bound to: FALSE - type: string
  293. Parameter c_lnk_bndwdt_notif bound to: FALSE - type: string
  294. Parameter c_external_clocking bound to: TRUE - type: string
  295. Parameter c_trgt_lnk_spd bound to: 2 - type: string
  296. Parameter c_hw_auton_spd_disable bound to: FALSE - type: string
  297. Parameter c_de_emph bound to: FALSE - type: string
  298. Parameter slot_clk bound to: TRUE - type: string
  299. Parameter c_rcb bound to: 0 - type: string
  300. Parameter c_root_cap_crs bound to: FALSE - type: string
  301. Parameter c_slot_cap_attn_butn bound to: FALSE - type: string
  302. Parameter c_slot_cap_attn_ind bound to: FALSE - type: string
  303. Parameter c_slot_cap_pwr_ctrl bound to: FALSE - type: string
  304. Parameter c_slot_cap_pwr_ind bound to: FALSE - type: string
  305. Parameter c_slot_cap_hotplug_surprise bound to: FALSE - type: string
  306. Parameter c_slot_cap_hotplug_cap bound to: FALSE - type: string
  307. Parameter c_slot_cap_mrl bound to: FALSE - type: string
  308. Parameter c_slot_cap_elec_interlock bound to: FALSE - type: string
  309. Parameter c_slot_cap_no_cmd_comp_sup bound to: FALSE - type: string
  310. Parameter c_slot_cap_pwr_limit_value bound to: 0 - type: string
  311. Parameter c_slot_cap_pwr_limit_scale bound to: 0 - type: string
  312. Parameter c_slot_cap_physical_slot_num bound to: 0 - type: string
  313. Parameter intx bound to: FALSE - type: string
  314. Parameter int_pin bound to: 0 - type: string
  315. Parameter c_msi_cap_on bound to: TRUE - type: string
  316. Parameter c_pm_cap_next_ptr bound to: 48 - type: string
  317. Parameter c_msi_64b_addr bound to: FALSE - type: string
  318. Parameter c_msi bound to: 0 - type: string
  319. Parameter c_msi_mult_msg_extn bound to: 0 - type: string
  320. Parameter c_msi_per_vctr_mask_cap bound to: FALSE - type: string
  321. Parameter c_msix_cap_on bound to: FALSE - type: string
  322. Parameter c_msix_next_ptr bound to: 00 - type: string
  323. Parameter c_pcie_cap_next_ptr bound to: 00 - type: string
  324. Parameter c_msix_table_size bound to: 000 - type: string
  325. Parameter c_msix_table_offset bound to: 0 - type: string
  326. Parameter c_msix_table_bir bound to: 0 - type: string
  327. Parameter c_msix_pba_offset bound to: 0 - type: string
  328. Parameter c_msix_pba_bir bound to: 0 - type: string
  329. Parameter dsi bound to: 0 - type: string
  330. Parameter c_dsi_bool bound to: FALSE - type: string
  331. Parameter d1_sup bound to: 0 - type: string
  332. Parameter c_d1_support bound to: FALSE - type: string
  333. Parameter d2_sup bound to: 0 - type: string
  334. Parameter c_d2_support bound to: FALSE - type: string
  335. Parameter pme_sup bound to: 0F - type: string
  336. Parameter c_pme_support bound to: 0F - type: string
  337. Parameter no_soft_rst bound to: TRUE - type: string
  338. Parameter pwr_con_d0_state bound to: 00 - type: string
  339. Parameter con_scl_fctr_d0_state bound to: 0 - type: string
  340. Parameter pwr_con_d1_state bound to: 00 - type: string
  341. Parameter con_scl_fctr_d1_state bound to: 0 - type: string
  342. Parameter pwr_con_d2_state bound to: 00 - type: string
  343. Parameter con_scl_fctr_d2_state bound to: 0 - type: string
  344. Parameter pwr_con_d3_state bound to: 00 - type: string
  345. Parameter con_scl_fctr_d3_state bound to: 0 - type: string
  346. Parameter pwr_dis_d0_state bound to: 00 - type: string
  347. Parameter dis_scl_fctr_d0_state bound to: 0 - type: string
  348. Parameter pwr_dis_d1_state bound to: 00 - type: string
  349. Parameter dis_scl_fctr_d1_state bound to: 0 - type: string
  350. Parameter pwr_dis_d2_state bound to: 00 - type: string
  351. Parameter dis_scl_fctr_d2_state bound to: 0 - type: string
  352. Parameter pwr_dis_d3_state bound to: 00 - type: string
  353. Parameter dis_scl_fctr_d3_state bound to: 0 - type: string
  354. Parameter c_dsn_cap_enabled bound to: TRUE - type: string
  355. Parameter c_dsn_base_ptr bound to: 100 - type: string
  356. Parameter c_vc_cap_enabled bound to: FALSE - type: string
  357. Parameter c_vc_base_ptr bound to: 000 - type: string
  358. Parameter c_vc_cap_reject_snoop bound to: FALSE - type: string
  359. Parameter c_vsec_cap_enabled bound to: FALSE - type: string
  360. Parameter c_vsec_base_ptr bound to: 000 - type: string
  361. Parameter c_vsec_next_ptr bound to: 000 - type: string
  362. Parameter c_dsn_next_ptr bound to: 000 - type: string
  363. Parameter c_vc_next_ptr bound to: 000 - type: string
  364. Parameter c_pci_cfg_space_addr bound to: 3F - type: string
  365. Parameter c_ext_pci_cfg_space_addr bound to: 3FF - type: string
  366. Parameter c_last_cfg_dw bound to: 10C - type: string
  367. Parameter c_enable_msg_route bound to: 00000000000 - type: string
  368. Parameter bram_lat bound to: 0 - type: string
  369. Parameter c_rx_raddr_lat bound to: 0 - type: string
  370. Parameter c_rx_rdata_lat bound to: 2 - type: string
  371. Parameter c_rx_write_lat bound to: 0 - type: string
  372. Parameter c_tx_raddr_lat bound to: 0 - type: string
  373. Parameter c_tx_rdata_lat bound to: 2 - type: string
  374. Parameter c_tx_write_lat bound to: 0 - type: string
  375. Parameter c_ll_ack_timeout_enable bound to: FALSE - type: string
  376. Parameter c_ll_ack_timeout_function bound to: 0 - type: string
  377. Parameter c_ll_ack_timeout bound to: 0000 - type: string
  378. Parameter c_ll_replay_timeout_enable bound to: FALSE - type: string
  379. Parameter c_ll_replay_timeout_func bound to: 1 - type: string
  380. Parameter c_ll_replay_timeout bound to: 0000 - type: string
  381. Parameter c_dis_lane_reverse bound to: TRUE - type: string
  382. Parameter c_upconfig_capable bound to: TRUE - type: string
  383. Parameter c_disable_scrambling bound to: FALSE - type: string
  384. Parameter c_disable_tx_aspm_l0s bound to: FALSE - type: string
  385. Parameter c_rev_gt_order bound to: FALSE - type: string
  386. Parameter c_pcie_dbg_ports bound to: TRUE - type: string
  387. Parameter pci_exp_ref_freq bound to: 0 - type: string
  388. Parameter c_xlnx_ref_board bound to: NONE - type: string
  389. Parameter c_pcie_blk_locn bound to: 0 - type: string
  390. Parameter c_ur_atomic bound to: FALSE - type: string
  391. Parameter c_dev_cap2_atomicop32_completer_supported bound to: FALSE - type: string
  392. Parameter c_dev_cap2_atomicop64_completer_supported bound to: FALSE - type: string
  393. Parameter c_dev_cap2_cas128_completer_supported bound to: FALSE - type: string
  394. Parameter c_dev_cap2_tph_completer_supported bound to: 00 - type: string
  395. Parameter c_dev_cap2_ari_forwarding_supported bound to: FALSE - type: string
  396. Parameter c_dev_cap2_atomicop_routing_supported bound to: FALSE - type: string
  397. Parameter c_link_cap_aspm_optionality bound to: FALSE - type: string
  398. Parameter c_aer_cap_on bound to: FALSE - type: string
  399. Parameter c_aer_base_ptr bound to: 000 - type: string
  400. Parameter c_aer_cap_nextptr bound to: 000 - type: string
  401. Parameter c_aer_cap_ecrc_check_capable bound to: FALSE - type: string
  402. Parameter c_aer_cap_multiheader bound to: FALSE - type: string
  403. Parameter c_aer_cap_permit_rooterr_update bound to: FALSE - type: string
  404. Parameter c_rbar_cap_on bound to: FALSE - type: string
  405. Parameter c_rbar_base_ptr bound to: 000 - type: string
  406. Parameter c_rbar_cap_nextptr bound to: 000 - type: string
  407. Parameter c_rbar_num bound to: 0 - type: string
  408. Parameter c_rbar_cap_sup0 bound to: 00001 - type: string
  409. Parameter c_rbar_cap_index0 bound to: 0 - type: string
  410. Parameter c_rbar_cap_control_encodedbar0 bound to: 00 - type: string
  411. Parameter c_rbar_cap_sup1 bound to: 00001 - type: string
  412. Parameter c_rbar_cap_index1 bound to: 0 - type: string
  413. Parameter c_rbar_cap_control_encodedbar1 bound to: 00 - type: string
  414. Parameter c_rbar_cap_sup2 bound to: 00001 - type: string
  415. Parameter c_rbar_cap_index2 bound to: 0 - type: string
  416. Parameter c_rbar_cap_control_encodedbar2 bound to: 00 - type: string
  417. Parameter c_rbar_cap_sup3 bound to: 00001 - type: string
  418. Parameter c_rbar_cap_index3 bound to: 0 - type: string
  419. Parameter c_rbar_cap_control_encodedbar3 bound to: 00 - type: string
  420. Parameter c_rbar_cap_sup4 bound to: 00001 - type: string
  421. Parameter c_rbar_cap_index4 bound to: 0 - type: string
  422. Parameter c_rbar_cap_control_encodedbar4 bound to: 00 - type: string
  423. Parameter c_rbar_cap_sup5 bound to: 00001 - type: string
  424. Parameter c_rbar_cap_index5 bound to: 0 - type: string
  425. Parameter c_rbar_cap_control_encodedbar5 bound to: 00 - type: string
  426. Parameter c_recrc_check bound to: 0 - type: string
  427. Parameter c_recrc_check_trim bound to: FALSE - type: string
  428. Parameter c_disable_rx_poisoned_resp bound to: FALSE - type: string
  429. Parameter c_trn_np_fc bound to: TRUE - type: string
  430. Parameter c_ur_inv_req bound to: TRUE - type: string
  431. Parameter c_ur_prs_response bound to: TRUE - type: string
  432. Parameter c_silicon_rev bound to: 2 - type: string
  433. Parameter c_aer_cap_optional_err_support bound to: 000000 - type: string
  434. Parameter PIPE_SIM bound to: FALSE - type: string
  435. Parameter PCIE_EXT_CLK bound to: TRUE - type: string
  436. Parameter PCIE_EXT_GT_COMMON bound to: FALSE - type: string
  437. Parameter EXT_CH_GT_DRP bound to: FALSE - type: string
  438. Parameter TRANSCEIVER_CTRL_STATUS_PORTS bound to: FALSE - type: string
  439. Parameter SHARED_LOGIC_IN_CORE bound to: FALSE - type: string
  440. Parameter PL_INTERFACE bound to: TRUE - type: string
  441. Parameter CFG_MGMT_IF bound to: TRUE - type: string
  442. Parameter CFG_CTL_IF bound to: TRUE - type: string
  443. Parameter CFG_STATUS_IF bound to: TRUE - type: string
  444. Parameter RCV_MSG_IF bound to: TRUE - type: string
  445. Parameter CFG_FC_IF bound to: TRUE - type: string
  446. Parameter ERR_REPORTING_IF bound to: TRUE - type: string
  447. Parameter c_aer_cap_ecrc_gen_capable bound to: FALSE - type: string
  448. Parameter EXT_PIPE_INTERFACE bound to: FALSE - type: string
  449. Parameter EXT_STARTUP_PRIMITIVE bound to: FALSE - type: string
  450. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  451. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  452. Parameter KEEP_WIDTH bound to: 16 - type: integer
  453. Parameter PCIE_ASYNC_EN bound to: FALSE - type: string
  454. Parameter ENABLE_JTAG_DBG bound to: FALSE - type: string
  455. Parameter REDUCE_OOB_FREQ bound to: FALSE - type: string
  456. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_core_top' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_core_top.v:65]
  457. Parameter CFG_VEND_ID bound to: 16'b0001000011101110
  458. Parameter CFG_DEV_ID bound to: 16'b0111000000100100
  459. Parameter CFG_REV_ID bound to: 8'b00000000
  460. Parameter CFG_SUBSYS_VEND_ID bound to: 16'b0001000011101110
  461. Parameter CFG_SUBSYS_ID bound to: 16'b0000000000000111
  462. Parameter EXT_PIPE_SIM bound to: FALSE - type: string
  463. Parameter ALLOW_X8_GEN2 bound to: FALSE - type: string
  464. Parameter PIPE_PIPELINE_STAGES bound to: 1 - type: integer
  465. Parameter AER_BASE_PTR bound to: 12'b000000000000
  466. Parameter AER_CAP_ECRC_CHECK_CAPABLE bound to: FALSE - type: string
  467. Parameter AER_CAP_ECRC_GEN_CAPABLE bound to: FALSE - type: string
  468. Parameter AER_CAP_MULTIHEADER bound to: FALSE - type: string
  469. Parameter AER_CAP_NEXTPTR bound to: 12'b000000000000
  470. Parameter AER_CAP_OPTIONAL_ERR_SUPPORT bound to: 24'b000000000000000000000000
  471. Parameter AER_CAP_ON bound to: FALSE - type: string
  472. Parameter AER_CAP_PERMIT_ROOTERR_UPDATE bound to: FALSE - type: string
  473. Parameter BAR0 bound to: -1048576 - type: integer
  474. Parameter BAR1 bound to: 0 - type: integer
  475. Parameter BAR2 bound to: 0 - type: integer
  476. Parameter BAR3 bound to: 0 - type: integer
  477. Parameter BAR4 bound to: 0 - type: integer
  478. Parameter BAR5 bound to: 0 - type: integer
  479. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  480. Parameter CARDBUS_CIS_POINTER bound to: 0 - type: integer
  481. Parameter CLASS_CODE bound to: 24'b000001011000000000000000
  482. Parameter CMD_INTX_IMPLEMENTED bound to: FALSE - type: string
  483. Parameter CPL_TIMEOUT_DISABLE_SUPPORTED bound to: FALSE - type: string
  484. Parameter CPL_TIMEOUT_RANGES_SUPPORTED bound to: 4'b0010
  485. Parameter DEV_CAP_ENDPOINT_L0S_LATENCY bound to: 0 - type: integer
  486. Parameter DEV_CAP_ENDPOINT_L1_LATENCY bound to: 7 - type: integer
  487. Parameter DEV_CAP_EXT_TAG_SUPPORTED bound to: FALSE - type: string
  488. Parameter DEV_CAP_MAX_PAYLOAD_SUPPORTED bound to: 2 - type: integer
  489. Parameter DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT bound to: 0 - type: integer
  490. Parameter DEV_CAP2_ARI_FORWARDING_SUPPORTED bound to: FALSE - type: string
  491. Parameter DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED bound to: FALSE - type: string
  492. Parameter DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED bound to: FALSE - type: string
  493. Parameter DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED bound to: FALSE - type: string
  494. Parameter DEV_CAP2_CAS128_COMPLETER_SUPPORTED bound to: FALSE - type: string
  495. Parameter DEV_CAP2_TPH_COMPLETER_SUPPORTED bound to: 2'b00
  496. Parameter DEV_CONTROL_EXT_TAG_DEFAULT bound to: FALSE - type: string
  497. Parameter DISABLE_LANE_REVERSAL bound to: TRUE - type: string
  498. Parameter DISABLE_RX_POISONED_RESP bound to: FALSE - type: string
  499. Parameter DISABLE_SCRAMBLING bound to: FALSE - type: string
  500. Parameter DSN_BASE_PTR bound to: 12'b000100000000
  501. Parameter DSN_CAP_NEXTPTR bound to: 12'b000000000000
  502. Parameter DSN_CAP_ON bound to: TRUE - type: string
  503. Parameter ENABLE_MSG_ROUTE bound to: 11'b00000000000
  504. Parameter ENABLE_RX_TD_ECRC_TRIM bound to: FALSE - type: string
  505. Parameter EXPANSION_ROM bound to: 0 - type: integer
  506. Parameter EXT_CFG_CAP_PTR bound to: 6'b111111
  507. Parameter EXT_CFG_XP_CAP_PTR bound to: 10'b1111111111
  508. Parameter HEADER_TYPE bound to: 8'b00000000
  509. Parameter INTERRUPT_PIN bound to: 8'b00000000
  510. Parameter LAST_CONFIG_DWORD bound to: 10'b1111111111
  511. Parameter LINK_CAP_ASPM_OPTIONALITY bound to: FALSE - type: string
  512. Parameter LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP bound to: FALSE - type: string
  513. Parameter LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP bound to: FALSE - type: string
  514. Parameter LINK_CAP_MAX_LINK_SPEED bound to: 4'b0010
  515. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  516. Parameter LINK_CTRL2_DEEMPHASIS bound to: FALSE - type: string
  517. Parameter LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE bound to: FALSE - type: string
  518. Parameter LINK_CTRL2_TARGET_LINK_SPEED bound to: 4'b0010
  519. Parameter LINK_STATUS_SLOT_CLOCK_CONFIG bound to: TRUE - type: string
  520. Parameter LL_ACK_TIMEOUT bound to: 15'b000000000000000
  521. Parameter LL_ACK_TIMEOUT_EN bound to: FALSE - type: string
  522. Parameter LL_ACK_TIMEOUT_FUNC bound to: 0 - type: integer
  523. Parameter LL_REPLAY_TIMEOUT bound to: 15'b000000000000000
  524. Parameter LL_REPLAY_TIMEOUT_EN bound to: FALSE - type: string
  525. Parameter LL_REPLAY_TIMEOUT_FUNC bound to: 1 - type: integer
  526. Parameter LTSSM_MAX_LINK_WIDTH bound to: 6'b000100
  527. Parameter MSI_CAP_MULTIMSGCAP bound to: 0 - type: integer
  528. Parameter MSI_CAP_MULTIMSG_EXTENSION bound to: 0 - type: integer
  529. Parameter MSI_CAP_ON bound to: TRUE - type: string
  530. Parameter MSI_CAP_PER_VECTOR_MASKING_CAPABLE bound to: FALSE - type: string
  531. Parameter MSI_CAP_64_BIT_ADDR_CAPABLE bound to: FALSE - type: string
  532. Parameter MSIX_CAP_ON bound to: FALSE - type: string
  533. Parameter MSIX_CAP_PBA_BIR bound to: 0 - type: integer
  534. Parameter MSIX_CAP_PBA_OFFSET bound to: 29'b00000000000000000000000000000
  535. Parameter MSIX_CAP_TABLE_BIR bound to: 0 - type: integer
  536. Parameter MSIX_CAP_TABLE_OFFSET bound to: 29'b00000000000000000000000000000
  537. Parameter MSIX_CAP_TABLE_SIZE bound to: 11'b00000000000
  538. Parameter PCIE_CAP_DEVICE_PORT_TYPE bound to: 4'b0000
  539. Parameter PCIE_CAP_NEXTPTR bound to: 8'b00000000
  540. Parameter PM_CAP_DSI bound to: FALSE - type: string
  541. Parameter PM_CAP_D1SUPPORT bound to: FALSE - type: string
  542. Parameter PM_CAP_D2SUPPORT bound to: FALSE - type: string
  543. Parameter PM_CAP_NEXTPTR bound to: 8'b01001000
  544. Parameter PM_CAP_PMESUPPORT bound to: 5'b01111
  545. Parameter PM_CSR_NOSOFTRST bound to: TRUE - type: string
  546. Parameter PM_DATA_SCALE0 bound to: 2'b00
  547. Parameter PM_DATA_SCALE1 bound to: 2'b00
  548. Parameter PM_DATA_SCALE2 bound to: 2'b00
  549. Parameter PM_DATA_SCALE3 bound to: 2'b00
  550. Parameter PM_DATA_SCALE4 bound to: 2'b00
  551. Parameter PM_DATA_SCALE5 bound to: 2'b00
  552. Parameter PM_DATA_SCALE6 bound to: 2'b00
  553. Parameter PM_DATA_SCALE7 bound to: 2'b00
  554. Parameter PM_DATA0 bound to: 8'b00000000
  555. Parameter PM_DATA1 bound to: 8'b00000000
  556. Parameter PM_DATA2 bound to: 8'b00000000
  557. Parameter PM_DATA3 bound to: 8'b00000000
  558. Parameter PM_DATA4 bound to: 8'b00000000
  559. Parameter PM_DATA5 bound to: 8'b00000000
  560. Parameter PM_DATA6 bound to: 8'b00000000
  561. Parameter PM_DATA7 bound to: 8'b00000000
  562. Parameter RBAR_BASE_PTR bound to: 12'b000000000000
  563. Parameter RBAR_CAP_CONTROL_ENCODEDBAR0 bound to: 5'b00000
  564. Parameter RBAR_CAP_CONTROL_ENCODEDBAR1 bound to: 5'b00000
  565. Parameter RBAR_CAP_CONTROL_ENCODEDBAR2 bound to: 5'b00000
  566. Parameter RBAR_CAP_CONTROL_ENCODEDBAR3 bound to: 5'b00000
  567. Parameter RBAR_CAP_CONTROL_ENCODEDBAR4 bound to: 5'b00000
  568. Parameter RBAR_CAP_CONTROL_ENCODEDBAR5 bound to: 5'b00000
  569. Parameter RBAR_CAP_INDEX0 bound to: 3'b000
  570. Parameter RBAR_CAP_INDEX1 bound to: 3'b000
  571. Parameter RBAR_CAP_INDEX2 bound to: 3'b000
  572. Parameter RBAR_CAP_INDEX3 bound to: 3'b000
  573. Parameter RBAR_CAP_INDEX4 bound to: 3'b000
  574. Parameter RBAR_CAP_INDEX5 bound to: 3'b000
  575. Parameter RBAR_CAP_ON bound to: FALSE - type: string
  576. Parameter RBAR_CAP_SUP0 bound to: 1 - type: integer
  577. Parameter RBAR_CAP_SUP1 bound to: 1 - type: integer
  578. Parameter RBAR_CAP_SUP2 bound to: 1 - type: integer
  579. Parameter RBAR_CAP_SUP3 bound to: 1 - type: integer
  580. Parameter RBAR_CAP_SUP4 bound to: 1 - type: integer
  581. Parameter RBAR_CAP_SUP5 bound to: 1 - type: integer
  582. Parameter RBAR_NUM bound to: 3'b000
  583. Parameter RECRC_CHK bound to: 0 - type: integer
  584. Parameter RECRC_CHK_TRIM bound to: FALSE - type: string
  585. Parameter REF_CLK_FREQ bound to: 0 - type: integer
  586. Parameter REM_WIDTH bound to: 2 - type: integer
  587. Parameter KEEP_WIDTH bound to: 16 - type: integer
  588. Parameter TL_RX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  589. Parameter TL_RX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  590. Parameter TL_TX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  591. Parameter TL_TX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  592. Parameter TL_RX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  593. Parameter TL_TX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  594. Parameter TRN_NP_FC bound to: TRUE - type: string
  595. Parameter TRN_DW bound to: TRUE - type: string
  596. Parameter UPCONFIG_CAPABLE bound to: TRUE - type: string
  597. Parameter UPSTREAM_FACING bound to: TRUE - type: string
  598. Parameter UR_ATOMIC bound to: FALSE - type: string
  599. Parameter UR_INV_REQ bound to: TRUE - type: string
  600. Parameter UR_PRS_RESPONSE bound to: TRUE - type: string
  601. Parameter USER_CLK_FREQ bound to: 3 - type: integer
  602. Parameter USER_CLK2_DIV2 bound to: TRUE - type: string
  603. Parameter VC_BASE_PTR bound to: 12'b000000000000
  604. Parameter VC_CAP_NEXTPTR bound to: 12'b000000000000
  605. Parameter VC_CAP_ON bound to: FALSE - type: string
  606. Parameter VC_CAP_REJECT_SNOOP_TRANSACTIONS bound to: FALSE - type: string
  607. Parameter VC0_CPL_INFINITE bound to: TRUE - type: string
  608. Parameter VC0_RX_RAM_LIMIT bound to: 13'b0011111111111
  609. Parameter VC0_TOTAL_CREDITS_CD bound to: 850 - type: integer
  610. Parameter VC0_TOTAL_CREDITS_CH bound to: 72 - type: integer
  611. Parameter VC0_TOTAL_CREDITS_NPH bound to: 4 - type: integer
  612. Parameter VC0_TOTAL_CREDITS_NPD bound to: 8 - type: integer
  613. Parameter VC0_TOTAL_CREDITS_PD bound to: 64 - type: integer
  614. Parameter VC0_TOTAL_CREDITS_PH bound to: 4 - type: integer
  615. Parameter VC0_TX_LASTPACKET bound to: 29 - type: integer
  616. Parameter VSEC_BASE_PTR bound to: 12'b000000000000
  617. Parameter VSEC_CAP_NEXTPTR bound to: 12'b000000000000
  618. Parameter VSEC_CAP_ON bound to: FALSE - type: string
  619. Parameter DISABLE_ASPM_L1_TIMER bound to: FALSE - type: string
  620. Parameter DISABLE_BAR_FILTERING bound to: FALSE - type: string
  621. Parameter DISABLE_ID_CHECK bound to: FALSE - type: string
  622. Parameter DISABLE_RX_TC_FILTER bound to: FALSE - type: string
  623. Parameter DNSTREAM_LINK_NUM bound to: 8'b00000000
  624. Parameter DSN_CAP_ID bound to: 16'b0000000000000011
  625. Parameter DSN_CAP_VERSION bound to: 4'b0001
  626. Parameter ENTER_RVRY_EI_L0 bound to: TRUE - type: string
  627. Parameter INFER_EI bound to: 5'b00000
  628. Parameter IS_SWITCH bound to: FALSE - type: string
  629. Parameter LINK_CAP_ASPM_SUPPORT bound to: 1 - type: integer
  630. Parameter LINK_CAP_CLOCK_POWER_MANAGEMENT bound to: FALSE - type: string
  631. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  632. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  633. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  634. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  635. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  636. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  637. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  638. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  639. Parameter LINK_CAP_RSVD_23 bound to: 0 - type: integer
  640. Parameter LINK_CONTROL_RCB bound to: 0 - type: integer
  641. Parameter MSI_BASE_PTR bound to: 8'b01001000
  642. Parameter MSI_CAP_ID bound to: 8'b00000101
  643. Parameter MSI_CAP_NEXTPTR bound to: 8'b01100000
  644. Parameter MSIX_BASE_PTR bound to: 8'b10011100
  645. Parameter MSIX_CAP_ID bound to: 8'b00010001
  646. Parameter MSIX_CAP_NEXTPTR bound to: 8'b00000000
  647. Parameter N_FTS_COMCLK_GEN1 bound to: 255 - type: integer
  648. Parameter N_FTS_COMCLK_GEN2 bound to: 255 - type: integer
  649. Parameter N_FTS_GEN1 bound to: 255 - type: integer
  650. Parameter N_FTS_GEN2 bound to: 255 - type: integer
  651. Parameter PCIE_BASE_PTR bound to: 8'b01100000
  652. Parameter PCIE_CAP_CAPABILITY_ID bound to: 8'b00010000
  653. Parameter PCIE_CAP_CAPABILITY_VERSION bound to: 4'b0010
  654. Parameter PCIE_CAP_ON bound to: TRUE - type: string
  655. Parameter PCIE_CAP_RSVD_15_14 bound to: 0 - type: integer
  656. Parameter PCIE_CAP_SLOT_IMPLEMENTED bound to: FALSE - type: string
  657. Parameter PCIE_REVISION bound to: 2 - type: integer
  658. Parameter PL_AUTO_CONFIG bound to: 0 - type: integer
  659. Parameter PL_FAST_TRAIN bound to: FALSE - type: string
  660. Parameter PCIE_EXT_CLK bound to: TRUE - type: string
  661. Parameter PCIE_EXT_GT_COMMON bound to: FALSE - type: string
  662. Parameter EXT_CH_GT_DRP bound to: FALSE - type: string
  663. Parameter TRANSCEIVER_CTRL_STATUS_PORTS bound to: FALSE - type: string
  664. Parameter SHARED_LOGIC_IN_CORE bound to: FALSE - type: string
  665. Parameter PM_BASE_PTR bound to: 8'b01000000
  666. Parameter PM_CAP_AUXCURRENT bound to: 0 - type: integer
  667. Parameter PM_CAP_ID bound to: 8'b00000001
  668. Parameter PM_CAP_ON bound to: TRUE - type: string
  669. Parameter PM_CAP_PME_CLOCK bound to: FALSE - type: string
  670. Parameter PM_CAP_RSVD_04 bound to: 0 - type: integer
  671. Parameter PM_CAP_VERSION bound to: 3 - type: integer
  672. Parameter PM_CSR_BPCCEN bound to: FALSE - type: string
  673. Parameter PM_CSR_B2B3 bound to: FALSE - type: string
  674. Parameter ROOT_CAP_CRS_SW_VISIBILITY bound to: FALSE - type: string
  675. Parameter SELECT_DLL_IF bound to: FALSE - type: string
  676. Parameter SLOT_CAP_ATT_BUTTON_PRESENT bound to: FALSE - type: string
  677. Parameter SLOT_CAP_ATT_INDICATOR_PRESENT bound to: FALSE - type: string
  678. Parameter SLOT_CAP_ELEC_INTERLOCK_PRESENT bound to: FALSE - type: string
  679. Parameter SLOT_CAP_HOTPLUG_CAPABLE bound to: FALSE - type: string
  680. Parameter SLOT_CAP_HOTPLUG_SURPRISE bound to: FALSE - type: string
  681. Parameter SLOT_CAP_MRL_SENSOR_PRESENT bound to: FALSE - type: string
  682. Parameter SLOT_CAP_NO_CMD_COMPLETED_SUPPORT bound to: FALSE - type: string
  683. Parameter SLOT_CAP_PHYSICAL_SLOT_NUM bound to: 13'b0000000000000
  684. Parameter SLOT_CAP_POWER_CONTROLLER_PRESENT bound to: FALSE - type: string
  685. Parameter SLOT_CAP_POWER_INDICATOR_PRESENT bound to: FALSE - type: string
  686. Parameter SLOT_CAP_SLOT_POWER_LIMIT_SCALE bound to: 0 - type: integer
  687. Parameter SLOT_CAP_SLOT_POWER_LIMIT_VALUE bound to: 8'b00000000
  688. Parameter SPARE_BIT0 bound to: 0 - type: integer
  689. Parameter SPARE_BIT1 bound to: 0 - type: integer
  690. Parameter SPARE_BIT2 bound to: 0 - type: integer
  691. Parameter SPARE_BIT3 bound to: 0 - type: integer
  692. Parameter SPARE_BIT4 bound to: 0 - type: integer
  693. Parameter SPARE_BIT5 bound to: 0 - type: integer
  694. Parameter SPARE_BIT6 bound to: 0 - type: integer
  695. Parameter SPARE_BIT7 bound to: 0 - type: integer
  696. Parameter SPARE_BIT8 bound to: 0 - type: integer
  697. Parameter SPARE_BYTE0 bound to: 8'b00000000
  698. Parameter SPARE_BYTE1 bound to: 8'b00000000
  699. Parameter SPARE_BYTE2 bound to: 8'b00000000
  700. Parameter SPARE_BYTE3 bound to: 8'b00000000
  701. Parameter SPARE_WORD0 bound to: 0 - type: integer
  702. Parameter SPARE_WORD1 bound to: 0 - type: integer
  703. Parameter SPARE_WORD2 bound to: 0 - type: integer
  704. Parameter SPARE_WORD3 bound to: 0 - type: integer
  705. Parameter TL_RBYPASS bound to: FALSE - type: string
  706. Parameter TL_TFC_DISABLE bound to: FALSE - type: string
  707. Parameter TL_TX_CHECKS_DISABLE bound to: FALSE - type: string
  708. Parameter EXIT_LOOPBACK_ON_EI bound to: TRUE - type: string
  709. Parameter CFG_ECRC_ERR_CPLSTAT bound to: 0 - type: integer
  710. Parameter CAPABILITIES_PTR bound to: 8'b01000000
  711. Parameter CRM_MODULE_RSTS bound to: 7'b0000000
  712. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE bound to: TRUE - type: string
  713. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE bound to: TRUE - type: string
  714. Parameter DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE bound to: FALSE - type: string
  715. Parameter DEV_CAP_ROLE_BASED_ERROR bound to: TRUE - type: string
  716. Parameter DEV_CAP_RSVD_14_12 bound to: 0 - type: integer
  717. Parameter DEV_CAP_RSVD_17_16 bound to: 0 - type: integer
  718. Parameter DEV_CAP_RSVD_31_29 bound to: 0 - type: integer
  719. Parameter DEV_CONTROL_AUX_POWER_SUPPORTED bound to: FALSE - type: string
  720. Parameter VC_CAP_ID bound to: 16'b0000000000000010
  721. Parameter VC_CAP_VERSION bound to: 4'b0001
  722. Parameter VSEC_CAP_HDR_ID bound to: 16'b0001001000110100
  723. Parameter VSEC_CAP_HDR_LENGTH bound to: 12'b000000011000
  724. Parameter VSEC_CAP_HDR_REVISION bound to: 4'b0001
  725. Parameter VSEC_CAP_ID bound to: 16'b0000000000001011
  726. Parameter VSEC_CAP_IS_LINK_VISIBLE bound to: TRUE - type: string
  727. Parameter VSEC_CAP_VERSION bound to: 4'b0001
  728. Parameter DISABLE_ERR_MSG bound to: FALSE - type: string
  729. Parameter DISABLE_LOCKED_FILTER bound to: FALSE - type: string
  730. Parameter DISABLE_PPM_FILTER bound to: FALSE - type: string
  731. Parameter ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED bound to: FALSE - type: string
  732. Parameter INTERRUPT_STAT_AUTO bound to: TRUE - type: string
  733. Parameter MPS_FORCE bound to: FALSE - type: string
  734. Parameter PM_ASPML0S_TIMEOUT bound to: 15'b000000000000000
  735. Parameter PM_ASPML0S_TIMEOUT_EN bound to: FALSE - type: string
  736. Parameter PM_ASPML0S_TIMEOUT_FUNC bound to: 0 - type: integer
  737. Parameter PM_ASPM_FASTEXIT bound to: FALSE - type: string
  738. Parameter PM_MF bound to: FALSE - type: string
  739. Parameter RP_AUTO_SPD bound to: 2'b01
  740. Parameter RP_AUTO_SPD_LOOPCNT bound to: 5'b11111
  741. Parameter SIM_VERSION bound to: 1.0 - type: string
  742. Parameter SSL_MESSAGE_AUTO bound to: FALSE - type: string
  743. Parameter TECRC_EP_INV bound to: FALSE - type: string
  744. Parameter UR_CFG1 bound to: TRUE - type: string
  745. Parameter USE_RID_PINS bound to: FALSE - type: string
  746. Parameter DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED bound to: FALSE - type: string
  747. Parameter DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED bound to: FALSE - type: string
  748. Parameter DEV_CAP2_LTR_MECHANISM_SUPPORTED bound to: FALSE - type: string
  749. Parameter DEV_CAP2_MAX_ENDEND_TLP_PREFIXES bound to: 2'b00
  750. Parameter DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING bound to: FALSE - type: string
  751. Parameter LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE bound to: FALSE - type: string
  752. Parameter AER_CAP_ID bound to: 16'b0000000000000001
  753. Parameter AER_CAP_VERSION bound to: 4'b0001
  754. Parameter RBAR_CAP_ID bound to: 16'b0000000000010101
  755. Parameter RBAR_CAP_NEXTPTR bound to: 12'b000000000000
  756. Parameter RBAR_CAP_VERSION bound to: 4'b0001
  757. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  758. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  759. Parameter PCIE_CHAN_BOND bound to: 1 - type: integer
  760. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  761. Parameter PCIE_ASYNC_EN bound to: FALSE - type: string
  762. Parameter PCIE_TXBUF_EN bound to: FALSE - type: string
  763. Parameter PL_INTERFACE bound to: TRUE - type: string
  764. Parameter CFG_MGMT_IF bound to: TRUE - type: string
  765. Parameter CFG_CTL_IF bound to: TRUE - type: string
  766. Parameter CFG_STATUS_IF bound to: TRUE - type: string
  767. Parameter RCV_MSG_IF bound to: TRUE - type: string
  768. Parameter CFG_FC_IF bound to: TRUE - type: string
  769. Parameter EXT_PIPE_INTERFACE bound to: FALSE - type: string
  770. Parameter TX_MARGIN_FULL_0 bound to: 7'b1001111
  771. Parameter TX_MARGIN_FULL_1 bound to: 7'b1001110
  772. Parameter TX_MARGIN_FULL_2 bound to: 7'b1001101
  773. Parameter TX_MARGIN_FULL_3 bound to: 7'b1001100
  774. Parameter TX_MARGIN_FULL_4 bound to: 7'b1000011
  775. Parameter TX_MARGIN_LOW_0 bound to: 7'b1000101
  776. Parameter TX_MARGIN_LOW_1 bound to: 7'b1000110
  777. Parameter TX_MARGIN_LOW_2 bound to: 7'b1000011
  778. Parameter TX_MARGIN_LOW_3 bound to: 7'b1000010
  779. Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000
  780. Parameter ENABLE_JTAG_DBG bound to: FALSE - type: string
  781. Parameter REDUCE_OOB_FREQ bound to: FALSE - type: string
  782. Parameter TCQ bound to: 100 - type: integer
  783. Parameter ENABLE_FAST_SIM_TRAINING bound to: TRUE - type: string
  784. INFO: [Synth 8-6157] synthesizing module 'xpm_cdc_single' [/tools/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv:153]
  785. Parameter DEST_SYNC_FF bound to: 2 - type: integer
  786. Parameter INIT_SYNC_FF bound to: 0 - type: integer
  787. Parameter SIM_ASSERT_CHK bound to: 0 - type: integer
  788. Parameter SRC_INPUT_REG bound to: 0 - type: integer
  789. Parameter VERSION bound to: 0 - type: integer
  790. INFO: [Synth 8-6155] done synthesizing module 'xpm_cdc_single' (1#1) [/tools/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv:153]
  791. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_top' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_top.v:62]
  792. Parameter PIPE_PIPELINE_STAGES bound to: 1 - type: integer
  793. Parameter AER_BASE_PTR bound to: 12'b000000000000
  794. Parameter AER_CAP_ECRC_CHECK_CAPABLE bound to: FALSE - type: string
  795. Parameter DEV_CAP_ROLE_BASED_ERROR bound to: TRUE - type: string
  796. Parameter LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE bound to: FALSE - type: string
  797. Parameter AER_CAP_ECRC_GEN_CAPABLE bound to: FALSE - type: string
  798. Parameter AER_CAP_ID bound to: 16'b0000000000000001
  799. Parameter AER_CAP_MULTIHEADER bound to: FALSE - type: string
  800. Parameter AER_CAP_NEXTPTR bound to: 12'b000000000000
  801. Parameter AER_CAP_ON bound to: FALSE - type: string
  802. Parameter AER_CAP_OPTIONAL_ERR_SUPPORT bound to: 24'b000000000000000000000000
  803. Parameter AER_CAP_PERMIT_ROOTERR_UPDATE bound to: FALSE - type: string
  804. Parameter AER_CAP_VERSION bound to: 4'b0001
  805. Parameter ALLOW_X8_GEN2 bound to: FALSE - type: string
  806. Parameter BAR0 bound to: -1048576 - type: integer
  807. Parameter BAR1 bound to: 0 - type: integer
  808. Parameter BAR2 bound to: 0 - type: integer
  809. Parameter BAR3 bound to: 0 - type: integer
  810. Parameter BAR4 bound to: 0 - type: integer
  811. Parameter BAR5 bound to: 0 - type: integer
  812. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  813. Parameter REM_WIDTH bound to: 2 - type: integer
  814. Parameter KEEP_WIDTH bound to: 16 - type: integer
  815. Parameter CAPABILITIES_PTR bound to: 8'b01000000
  816. Parameter CARDBUS_CIS_POINTER bound to: 0 - type: integer
  817. Parameter CLASS_CODE bound to: 24'b000001011000000000000000
  818. Parameter CFG_ECRC_ERR_CPLSTAT bound to: 0 - type: integer
  819. Parameter CMD_INTX_IMPLEMENTED bound to: FALSE - type: string
  820. Parameter CPL_TIMEOUT_DISABLE_SUPPORTED bound to: FALSE - type: string
  821. Parameter CPL_TIMEOUT_RANGES_SUPPORTED bound to: 4'b0010
  822. Parameter CRM_MODULE_RSTS bound to: 7'b0000000
  823. Parameter DEV_CAP2_ARI_FORWARDING_SUPPORTED bound to: FALSE - type: string
  824. Parameter DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED bound to: FALSE - type: string
  825. Parameter DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED bound to: FALSE - type: string
  826. Parameter DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED bound to: FALSE - type: string
  827. Parameter DEV_CAP2_CAS128_COMPLETER_SUPPORTED bound to: FALSE - type: string
  828. Parameter DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED bound to: FALSE - type: string
  829. Parameter DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED bound to: FALSE - type: string
  830. Parameter DEV_CAP2_LTR_MECHANISM_SUPPORTED bound to: FALSE - type: string
  831. Parameter DEV_CAP2_MAX_ENDEND_TLP_PREFIXES bound to: 2'b00
  832. Parameter DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING bound to: FALSE - type: string
  833. Parameter DEV_CAP2_TPH_COMPLETER_SUPPORTED bound to: 2'b00
  834. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE bound to: TRUE - type: string
  835. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE bound to: TRUE - type: string
  836. Parameter DEV_CAP_ENDPOINT_L0S_LATENCY bound to: 0 - type: integer
  837. Parameter DEV_CAP_ENDPOINT_L1_LATENCY bound to: 7 - type: integer
  838. Parameter DEV_CAP_EXT_TAG_SUPPORTED bound to: FALSE - type: string
  839. Parameter DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE bound to: FALSE - type: string
  840. Parameter DEV_CAP_MAX_PAYLOAD_SUPPORTED bound to: 2 - type: integer
  841. Parameter DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT bound to: 0 - type: integer
  842. Parameter DEV_CAP_RSVD_14_12 bound to: 0 - type: integer
  843. Parameter DEV_CAP_RSVD_17_16 bound to: 0 - type: integer
  844. Parameter DEV_CAP_RSVD_31_29 bound to: 0 - type: integer
  845. Parameter DEV_CONTROL_AUX_POWER_SUPPORTED bound to: FALSE - type: string
  846. Parameter DEV_CONTROL_EXT_TAG_DEFAULT bound to: FALSE - type: string
  847. Parameter DISABLE_ASPM_L1_TIMER bound to: FALSE - type: string
  848. Parameter DISABLE_BAR_FILTERING bound to: FALSE - type: string
  849. Parameter DISABLE_ERR_MSG bound to: FALSE - type: string
  850. Parameter DISABLE_ID_CHECK bound to: FALSE - type: string
  851. Parameter DISABLE_LANE_REVERSAL bound to: TRUE - type: string
  852. Parameter DISABLE_LOCKED_FILTER bound to: FALSE - type: string
  853. Parameter DISABLE_PPM_FILTER bound to: FALSE - type: string
  854. Parameter DISABLE_RX_POISONED_RESP bound to: FALSE - type: string
  855. Parameter DISABLE_RX_TC_FILTER bound to: FALSE - type: string
  856. Parameter DISABLE_SCRAMBLING bound to: FALSE - type: string
  857. Parameter DNSTREAM_LINK_NUM bound to: 8'b00000000
  858. Parameter DSN_BASE_PTR bound to: 12'b000100000000
  859. Parameter DSN_CAP_ID bound to: 16'b0000000000000011
  860. Parameter DSN_CAP_NEXTPTR bound to: 12'b000000000000
  861. Parameter DSN_CAP_ON bound to: TRUE - type: string
  862. Parameter DSN_CAP_VERSION bound to: 4'b0001
  863. Parameter ENABLE_MSG_ROUTE bound to: 11'b00000000000
  864. Parameter ENABLE_RX_TD_ECRC_TRIM bound to: FALSE - type: string
  865. Parameter ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED bound to: FALSE - type: string
  866. Parameter ENTER_RVRY_EI_L0 bound to: TRUE - type: string
  867. Parameter EXIT_LOOPBACK_ON_EI bound to: TRUE - type: string
  868. Parameter EXPANSION_ROM bound to: 0 - type: integer
  869. Parameter EXT_CFG_CAP_PTR bound to: 6'b111111
  870. Parameter EXT_CFG_XP_CAP_PTR bound to: 10'b1111111111
  871. Parameter HEADER_TYPE bound to: 8'b00000000
  872. Parameter INFER_EI bound to: 5'b00000
  873. Parameter INTERRUPT_PIN bound to: 8'b00000000
  874. Parameter INTERRUPT_STAT_AUTO bound to: TRUE - type: string
  875. Parameter IS_SWITCH bound to: FALSE - type: string
  876. Parameter LAST_CONFIG_DWORD bound to: 10'b1111111111
  877. Parameter LINK_CAP_ASPM_OPTIONALITY bound to: FALSE - type: string
  878. Parameter LINK_CAP_ASPM_SUPPORT bound to: 1 - type: integer
  879. Parameter LINK_CAP_CLOCK_POWER_MANAGEMENT bound to: FALSE - type: string
  880. Parameter LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP bound to: FALSE - type: string
  881. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  882. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  883. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  884. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  885. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  886. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  887. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  888. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  889. Parameter LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP bound to: FALSE - type: string
  890. Parameter LINK_CAP_MAX_LINK_SPEED bound to: 4'b0010
  891. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  892. Parameter LINK_CAP_RSVD_23 bound to: 0 - type: integer
  893. Parameter LINK_CONTROL_RCB bound to: 0 - type: integer
  894. Parameter LINK_CTRL2_DEEMPHASIS bound to: FALSE - type: string
  895. Parameter LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE bound to: FALSE - type: string
  896. Parameter LINK_CTRL2_TARGET_LINK_SPEED bound to: 4'b0010
  897. Parameter LINK_STATUS_SLOT_CLOCK_CONFIG bound to: TRUE - type: string
  898. Parameter LL_ACK_TIMEOUT bound to: 15'b000000000000000
  899. Parameter LL_ACK_TIMEOUT_EN bound to: FALSE - type: string
  900. Parameter LL_ACK_TIMEOUT_FUNC bound to: 0 - type: integer
  901. Parameter LL_REPLAY_TIMEOUT bound to: 15'b000000000000000
  902. Parameter LL_REPLAY_TIMEOUT_EN bound to: FALSE - type: string
  903. Parameter LL_REPLAY_TIMEOUT_FUNC bound to: 1 - type: integer
  904. Parameter LTSSM_MAX_LINK_WIDTH bound to: 6'b000100
  905. Parameter MPS_FORCE bound to: FALSE - type: string
  906. Parameter MSIX_BASE_PTR bound to: 8'b10011100
  907. Parameter MSIX_CAP_ID bound to: 8'b00010001
  908. Parameter MSIX_CAP_NEXTPTR bound to: 8'b00000000
  909. Parameter MSIX_CAP_ON bound to: FALSE - type: string
  910. Parameter MSIX_CAP_PBA_BIR bound to: 0 - type: integer
  911. Parameter MSIX_CAP_PBA_OFFSET bound to: 29'b00000000000000000000000000000
  912. Parameter MSIX_CAP_TABLE_BIR bound to: 0 - type: integer
  913. Parameter MSIX_CAP_TABLE_OFFSET bound to: 29'b00000000000000000000000000000
  914. Parameter MSIX_CAP_TABLE_SIZE bound to: 11'b00000000000
  915. Parameter MSI_BASE_PTR bound to: 8'b01001000
  916. Parameter MSI_CAP_64_BIT_ADDR_CAPABLE bound to: FALSE - type: string
  917. Parameter MSI_CAP_ID bound to: 8'b00000101
  918. Parameter MSI_CAP_MULTIMSGCAP bound to: 0 - type: integer
  919. Parameter MSI_CAP_MULTIMSG_EXTENSION bound to: 0 - type: integer
  920. Parameter MSI_CAP_NEXTPTR bound to: 8'b01100000
  921. Parameter MSI_CAP_ON bound to: TRUE - type: string
  922. Parameter MSI_CAP_PER_VECTOR_MASKING_CAPABLE bound to: FALSE - type: string
  923. Parameter N_FTS_COMCLK_GEN1 bound to: 255 - type: integer
  924. Parameter N_FTS_COMCLK_GEN2 bound to: 255 - type: integer
  925. Parameter N_FTS_GEN1 bound to: 255 - type: integer
  926. Parameter N_FTS_GEN2 bound to: 255 - type: integer
  927. Parameter PCIE_BASE_PTR bound to: 8'b01100000
  928. Parameter PCIE_CAP_CAPABILITY_ID bound to: 8'b00010000
  929. Parameter PCIE_CAP_CAPABILITY_VERSION bound to: 4'b0010
  930. Parameter PCIE_CAP_DEVICE_PORT_TYPE bound to: 4'b0000
  931. Parameter PCIE_CAP_NEXTPTR bound to: 8'b00000000
  932. Parameter PCIE_CAP_ON bound to: TRUE - type: string
  933. Parameter PCIE_CAP_RSVD_15_14 bound to: 0 - type: integer
  934. Parameter PCIE_CAP_SLOT_IMPLEMENTED bound to: FALSE - type: string
  935. Parameter PCIE_REVISION bound to: 2 - type: integer
  936. Parameter PL_AUTO_CONFIG bound to: 0 - type: integer
  937. Parameter PL_FAST_TRAIN bound to: FALSE - type: string
  938. Parameter PM_ASPML0S_TIMEOUT bound to: 15'b000000000000000
  939. Parameter PM_ASPML0S_TIMEOUT_EN bound to: FALSE - type: string
  940. Parameter PM_ASPML0S_TIMEOUT_FUNC bound to: 0 - type: integer
  941. Parameter PM_ASPM_FASTEXIT bound to: FALSE - type: string
  942. Parameter PM_BASE_PTR bound to: 8'b01000000
  943. Parameter PM_CAP_AUXCURRENT bound to: 0 - type: integer
  944. Parameter PM_CAP_D1SUPPORT bound to: FALSE - type: string
  945. Parameter PM_CAP_D2SUPPORT bound to: FALSE - type: string
  946. Parameter PM_CAP_DSI bound to: FALSE - type: string
  947. Parameter PM_CAP_ID bound to: 8'b00000001
  948. Parameter PM_CAP_NEXTPTR bound to: 8'b01001000
  949. Parameter PM_CAP_ON bound to: TRUE - type: string
  950. Parameter PM_CAP_PMESUPPORT bound to: 5'b01111
  951. Parameter PM_CAP_PME_CLOCK bound to: FALSE - type: string
  952. Parameter PM_CAP_RSVD_04 bound to: 0 - type: integer
  953. Parameter PM_CAP_VERSION bound to: 3 - type: integer
  954. Parameter PM_CSR_B2B3 bound to: FALSE - type: string
  955. Parameter PM_CSR_BPCCEN bound to: FALSE - type: string
  956. Parameter PM_CSR_NOSOFTRST bound to: TRUE - type: string
  957. Parameter PM_DATA0 bound to: 8'b00000000
  958. Parameter PM_DATA1 bound to: 8'b00000000
  959. Parameter PM_DATA2 bound to: 8'b00000000
  960. Parameter PM_DATA3 bound to: 8'b00000000
  961. Parameter PM_DATA4 bound to: 8'b00000000
  962. Parameter PM_DATA5 bound to: 8'b00000000
  963. Parameter PM_DATA6 bound to: 8'b00000000
  964. Parameter PM_DATA7 bound to: 8'b00000000
  965. Parameter PM_DATA_SCALE0 bound to: 2'b00
  966. Parameter PM_DATA_SCALE1 bound to: 2'b00
  967. Parameter PM_DATA_SCALE2 bound to: 2'b00
  968. Parameter PM_DATA_SCALE3 bound to: 2'b00
  969. Parameter PM_DATA_SCALE4 bound to: 2'b00
  970. Parameter PM_DATA_SCALE5 bound to: 2'b00
  971. Parameter PM_DATA_SCALE6 bound to: 2'b00
  972. Parameter PM_DATA_SCALE7 bound to: 2'b00
  973. Parameter PM_MF bound to: FALSE - type: string
  974. Parameter RBAR_BASE_PTR bound to: 12'b000000000000
  975. Parameter RBAR_CAP_CONTROL_ENCODEDBAR0 bound to: 5'b00000
  976. Parameter RBAR_CAP_CONTROL_ENCODEDBAR1 bound to: 5'b00000
  977. Parameter RBAR_CAP_CONTROL_ENCODEDBAR2 bound to: 5'b00000
  978. Parameter RBAR_CAP_CONTROL_ENCODEDBAR3 bound to: 5'b00000
  979. Parameter RBAR_CAP_CONTROL_ENCODEDBAR4 bound to: 5'b00000
  980. Parameter RBAR_CAP_CONTROL_ENCODEDBAR5 bound to: 5'b00000
  981. Parameter RBAR_CAP_ID bound to: 16'b0000000000010101
  982. Parameter RBAR_CAP_INDEX0 bound to: 3'b000
  983. Parameter RBAR_CAP_INDEX1 bound to: 3'b000
  984. Parameter RBAR_CAP_INDEX2 bound to: 3'b000
  985. Parameter RBAR_CAP_INDEX3 bound to: 3'b000
  986. Parameter RBAR_CAP_INDEX4 bound to: 3'b000
  987. Parameter RBAR_CAP_INDEX5 bound to: 3'b000
  988. Parameter RBAR_CAP_NEXTPTR bound to: 12'b000000000000
  989. Parameter RBAR_CAP_ON bound to: FALSE - type: string
  990. Parameter RBAR_CAP_SUP0 bound to: 1 - type: integer
  991. Parameter RBAR_CAP_SUP1 bound to: 1 - type: integer
  992. Parameter RBAR_CAP_SUP2 bound to: 1 - type: integer
  993. Parameter RBAR_CAP_SUP3 bound to: 1 - type: integer
  994. Parameter RBAR_CAP_SUP4 bound to: 1 - type: integer
  995. Parameter RBAR_CAP_SUP5 bound to: 1 - type: integer
  996. Parameter RBAR_CAP_VERSION bound to: 4'b0001
  997. Parameter RBAR_NUM bound to: 3'b000
  998. Parameter RECRC_CHK bound to: 0 - type: integer
  999. Parameter RECRC_CHK_TRIM bound to: FALSE - type: string
  1000. Parameter ROOT_CAP_CRS_SW_VISIBILITY bound to: FALSE - type: string
  1001. Parameter RP_AUTO_SPD bound to: 2'b01
  1002. Parameter RP_AUTO_SPD_LOOPCNT bound to: 5'b11111
  1003. Parameter SELECT_DLL_IF bound to: FALSE - type: string
  1004. Parameter SIM_VERSION bound to: 1.0 - type: string
  1005. Parameter SLOT_CAP_ATT_BUTTON_PRESENT bound to: FALSE - type: string
  1006. Parameter SLOT_CAP_ATT_INDICATOR_PRESENT bound to: FALSE - type: string
  1007. Parameter SLOT_CAP_ELEC_INTERLOCK_PRESENT bound to: FALSE - type: string
  1008. Parameter SLOT_CAP_HOTPLUG_CAPABLE bound to: FALSE - type: string
  1009. Parameter SLOT_CAP_HOTPLUG_SURPRISE bound to: FALSE - type: string
  1010. Parameter SLOT_CAP_MRL_SENSOR_PRESENT bound to: FALSE - type: string
  1011. Parameter SLOT_CAP_NO_CMD_COMPLETED_SUPPORT bound to: FALSE - type: string
  1012. Parameter SLOT_CAP_PHYSICAL_SLOT_NUM bound to: 13'b0000000000000
  1013. Parameter SLOT_CAP_POWER_CONTROLLER_PRESENT bound to: FALSE - type: string
  1014. Parameter SLOT_CAP_POWER_INDICATOR_PRESENT bound to: FALSE - type: string
  1015. Parameter SLOT_CAP_SLOT_POWER_LIMIT_SCALE bound to: 0 - type: integer
  1016. Parameter SLOT_CAP_SLOT_POWER_LIMIT_VALUE bound to: 8'b00000000
  1017. Parameter SPARE_BIT0 bound to: 0 - type: integer
  1018. Parameter SPARE_BIT1 bound to: 0 - type: integer
  1019. Parameter SPARE_BIT2 bound to: 0 - type: integer
  1020. Parameter SPARE_BIT3 bound to: 0 - type: integer
  1021. Parameter SPARE_BIT4 bound to: 0 - type: integer
  1022. Parameter SPARE_BIT5 bound to: 0 - type: integer
  1023. Parameter SPARE_BIT6 bound to: 0 - type: integer
  1024. Parameter SPARE_BIT7 bound to: 0 - type: integer
  1025. Parameter SPARE_BIT8 bound to: 0 - type: integer
  1026. Parameter SPARE_BYTE0 bound to: 8'b00000000
  1027. Parameter SPARE_BYTE1 bound to: 8'b00000000
  1028. Parameter SPARE_BYTE2 bound to: 8'b00000000
  1029. Parameter SPARE_BYTE3 bound to: 8'b00000000
  1030. Parameter SPARE_WORD0 bound to: 0 - type: integer
  1031. Parameter SPARE_WORD1 bound to: 0 - type: integer
  1032. Parameter SPARE_WORD2 bound to: 0 - type: integer
  1033. Parameter SPARE_WORD3 bound to: 0 - type: integer
  1034. Parameter SSL_MESSAGE_AUTO bound to: FALSE - type: string
  1035. Parameter TECRC_EP_INV bound to: FALSE - type: string
  1036. Parameter TL_RBYPASS bound to: FALSE - type: string
  1037. Parameter TL_RX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  1038. Parameter TL_RX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  1039. Parameter TL_RX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  1040. Parameter TL_TFC_DISABLE bound to: FALSE - type: string
  1041. Parameter TL_TX_CHECKS_DISABLE bound to: FALSE - type: string
  1042. Parameter TL_TX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  1043. Parameter TL_TX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  1044. Parameter TL_TX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  1045. Parameter TRN_DW bound to: TRUE - type: string
  1046. Parameter TRN_NP_FC bound to: TRUE - type: string
  1047. Parameter UPCONFIG_CAPABLE bound to: TRUE - type: string
  1048. Parameter UPSTREAM_FACING bound to: TRUE - type: string
  1049. Parameter UR_ATOMIC bound to: FALSE - type: string
  1050. Parameter UR_CFG1 bound to: TRUE - type: string
  1051. Parameter UR_INV_REQ bound to: TRUE - type: string
  1052. Parameter UR_PRS_RESPONSE bound to: TRUE - type: string
  1053. Parameter USER_CLK2_DIV2 bound to: TRUE - type: string
  1054. Parameter USER_CLK_FREQ bound to: 3 - type: integer
  1055. Parameter USE_RID_PINS bound to: FALSE - type: string
  1056. Parameter VC0_CPL_INFINITE bound to: TRUE - type: string
  1057. Parameter VC0_RX_RAM_LIMIT bound to: 13'b0011111111111
  1058. Parameter VC0_TOTAL_CREDITS_CD bound to: 850 - type: integer
  1059. Parameter VC0_TOTAL_CREDITS_CH bound to: 72 - type: integer
  1060. Parameter VC0_TOTAL_CREDITS_NPD bound to: 8 - type: integer
  1061. Parameter VC0_TOTAL_CREDITS_NPH bound to: 4 - type: integer
  1062. Parameter VC0_TOTAL_CREDITS_PD bound to: 64 - type: integer
  1063. Parameter VC0_TOTAL_CREDITS_PH bound to: 4 - type: integer
  1064. Parameter VC0_TX_LASTPACKET bound to: 29 - type: integer
  1065. Parameter VC_BASE_PTR bound to: 12'b000000000000
  1066. Parameter VC_CAP_ID bound to: 16'b0000000000000010
  1067. Parameter VC_CAP_NEXTPTR bound to: 12'b000000000000
  1068. Parameter VC_CAP_ON bound to: FALSE - type: string
  1069. Parameter VC_CAP_REJECT_SNOOP_TRANSACTIONS bound to: FALSE - type: string
  1070. Parameter VC_CAP_VERSION bound to: 4'b0001
  1071. Parameter VSEC_BASE_PTR bound to: 12'b000000000000
  1072. Parameter VSEC_CAP_HDR_ID bound to: 16'b0001001000110100
  1073. Parameter VSEC_CAP_HDR_LENGTH bound to: 12'b000000011000
  1074. Parameter VSEC_CAP_HDR_REVISION bound to: 4'b0001
  1075. Parameter VSEC_CAP_ID bound to: 16'b0000000000001011
  1076. Parameter VSEC_CAP_IS_LINK_VISIBLE bound to: TRUE - type: string
  1077. Parameter VSEC_CAP_NEXTPTR bound to: 12'b000000000000
  1078. Parameter VSEC_CAP_ON bound to: FALSE - type: string
  1079. Parameter VSEC_CAP_VERSION bound to: 4'b0001
  1080. Parameter ENABLE_JTAG_DBG bound to: FALSE - type: string
  1081. Parameter REDUCE_OOB_FREQ bound to: FALSE - type: string
  1082. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_axi_basic_top' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_top.v:68]
  1083. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1084. Parameter C_FAMILY bound to: X7 - type: string
  1085. Parameter C_ROOT_PORT bound to: FALSE - type: string
  1086. Parameter C_PM_PRIORITY bound to: FALSE - type: string
  1087. Parameter TCQ bound to: 1 - type: integer
  1088. Parameter REM_WIDTH bound to: 2 - type: integer
  1089. Parameter KEEP_WIDTH bound to: 16 - type: integer
  1090. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_axi_basic_rx' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_rx.v:70]
  1091. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1092. Parameter C_FAMILY bound to: X7 - type: string
  1093. Parameter C_ROOT_PORT bound to: FALSE - type: string
  1094. Parameter C_PM_PRIORITY bound to: FALSE - type: string
  1095. Parameter TCQ bound to: 1 - type: integer
  1096. Parameter REM_WIDTH bound to: 2 - type: integer
  1097. Parameter KEEP_WIDTH bound to: 16 - type: integer
  1098. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_axi_basic_rx_pipeline' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_rx_pipeline.v:70]
  1099. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1100. Parameter C_FAMILY bound to: X7 - type: string
  1101. Parameter TCQ bound to: 1 - type: integer
  1102. Parameter REM_WIDTH bound to: 2 - type: integer
  1103. Parameter KEEP_WIDTH bound to: 16 - type: integer
  1104. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_axi_basic_rx_pipeline' (2#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_rx_pipeline.v:70]
  1105. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_axi_basic_rx_null_gen' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_rx_null_gen.v:71]
  1106. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1107. Parameter TCQ bound to: 1 - type: integer
  1108. Parameter KEEP_WIDTH bound to: 16 - type: integer
  1109. Parameter INTERFACE_WIDTH_DWORDS bound to: 11'b00000000100
  1110. Parameter IDLE bound to: 0 - type: integer
  1111. Parameter IN_PACKET bound to: 1 - type: integer
  1112. INFO: [Synth 8-226] default block is never used [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_rx_null_gen.v:252]
  1113. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_axi_basic_rx_null_gen' (3#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_rx_null_gen.v:71]
  1114. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_axi_basic_rx' (4#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_rx.v:70]
  1115. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_axi_basic_tx' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_tx.v:70]
  1116. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1117. Parameter C_FAMILY bound to: X7 - type: string
  1118. Parameter C_ROOT_PORT bound to: FALSE - type: string
  1119. Parameter C_PM_PRIORITY bound to: FALSE - type: string
  1120. Parameter TCQ bound to: 1 - type: integer
  1121. Parameter REM_WIDTH bound to: 2 - type: integer
  1122. Parameter KEEP_WIDTH bound to: 16 - type: integer
  1123. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_axi_basic_tx_thrtl_ctl' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_tx_thrtl_ctl.v:71]
  1124. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1125. Parameter C_FAMILY bound to: X7 - type: string
  1126. Parameter C_ROOT_PORT bound to: FALSE - type: string
  1127. Parameter TCQ bound to: 1 - type: integer
  1128. Parameter TBUF_AV_MIN bound to: 5 - type: integer
  1129. Parameter TBUF_AV_GAP bound to: 6 - type: integer
  1130. Parameter TBUF_GAP_TIME bound to: 4 - type: integer
  1131. Parameter TCFG_LATENCY_TIME bound to: 2'b10
  1132. Parameter TCFG_GNT_PIPE_STAGES bound to: 3 - type: integer
  1133. Parameter LINKSTATE_L0 bound to: 3'b000
  1134. Parameter LINKSTATE_PPM_L1 bound to: 3'b001
  1135. Parameter LINKSTATE_PPM_L1_TRANS bound to: 3'b101
  1136. Parameter LINKSTATE_PPM_L23R_TRANS bound to: 3'b110
  1137. Parameter PM_ENTER_L1 bound to: 8'b00100000
  1138. Parameter POWERSTATE_D0 bound to: 2'b00
  1139. Parameter IDLE bound to: 0 - type: integer
  1140. Parameter THROTTLE bound to: 1 - type: integer
  1141. INFO: [Synth 8-226] default block is never used [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_tx_thrtl_ctl.v:572]
  1142. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_axi_basic_tx_thrtl_ctl' (5#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_tx_thrtl_ctl.v:71]
  1143. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_axi_basic_tx_pipeline' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_tx_pipeline.v:71]
  1144. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1145. Parameter C_PM_PRIORITY bound to: FALSE - type: string
  1146. Parameter TCQ bound to: 1 - type: integer
  1147. Parameter REM_WIDTH bound to: 2 - type: integer
  1148. Parameter KEEP_WIDTH bound to: 16 - type: integer
  1149. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_axi_basic_tx_pipeline' (6#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_tx_pipeline.v:71]
  1150. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_axi_basic_tx' (7#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_tx.v:70]
  1151. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_axi_basic_top' (8#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_axi_basic_top.v:68]
  1152. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_7x' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_7x.v:63]
  1153. Parameter AER_BASE_PTR bound to: 12'b000000000000
  1154. Parameter AER_CAP_ECRC_CHECK_CAPABLE bound to: FALSE - type: string
  1155. Parameter AER_CAP_ECRC_GEN_CAPABLE bound to: FALSE - type: string
  1156. Parameter AER_CAP_ID bound to: 16'b0000000000000001
  1157. Parameter AER_CAP_MULTIHEADER bound to: FALSE - type: string
  1158. Parameter AER_CAP_NEXTPTR bound to: 12'b000000000000
  1159. Parameter AER_CAP_ON bound to: FALSE - type: string
  1160. Parameter AER_CAP_OPTIONAL_ERR_SUPPORT bound to: 24'b000000000000000000000000
  1161. Parameter AER_CAP_PERMIT_ROOTERR_UPDATE bound to: FALSE - type: string
  1162. Parameter AER_CAP_VERSION bound to: 4'b0001
  1163. Parameter ALLOW_X8_GEN2 bound to: FALSE - type: string
  1164. Parameter BAR0 bound to: -1048576 - type: integer
  1165. Parameter BAR1 bound to: 0 - type: integer
  1166. Parameter BAR2 bound to: 0 - type: integer
  1167. Parameter BAR3 bound to: 0 - type: integer
  1168. Parameter BAR4 bound to: 0 - type: integer
  1169. Parameter BAR5 bound to: 0 - type: integer
  1170. Parameter CAPABILITIES_PTR bound to: 8'b01000000
  1171. Parameter CARDBUS_CIS_POINTER bound to: 0 - type: integer
  1172. Parameter CFG_ECRC_ERR_CPLSTAT bound to: 0 - type: integer
  1173. Parameter CLASS_CODE bound to: 24'b000001011000000000000000
  1174. Parameter CMD_INTX_IMPLEMENTED bound to: FALSE - type: string
  1175. Parameter CPL_TIMEOUT_DISABLE_SUPPORTED bound to: FALSE - type: string
  1176. Parameter CPL_TIMEOUT_RANGES_SUPPORTED bound to: 4'b0010
  1177. Parameter CRM_MODULE_RSTS bound to: 7'b0000000
  1178. Parameter C_DATA_WIDTH bound to: 128 - type: integer
  1179. Parameter REM_WIDTH bound to: 2 - type: integer
  1180. Parameter KEEP_WIDTH bound to: 16 - type: integer
  1181. Parameter DEV_CAP2_ARI_FORWARDING_SUPPORTED bound to: FALSE - type: string
  1182. Parameter DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED bound to: FALSE - type: string
  1183. Parameter DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED bound to: FALSE - type: string
  1184. Parameter DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED bound to: FALSE - type: string
  1185. Parameter DEV_CAP2_CAS128_COMPLETER_SUPPORTED bound to: FALSE - type: string
  1186. Parameter DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED bound to: FALSE - type: string
  1187. Parameter DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED bound to: FALSE - type: string
  1188. Parameter DEV_CAP2_LTR_MECHANISM_SUPPORTED bound to: FALSE - type: string
  1189. Parameter DEV_CAP2_MAX_ENDEND_TLP_PREFIXES bound to: 2'b00
  1190. Parameter DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING bound to: FALSE - type: string
  1191. Parameter DEV_CAP2_TPH_COMPLETER_SUPPORTED bound to: 2'b00
  1192. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE bound to: TRUE - type: string
  1193. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE bound to: TRUE - type: string
  1194. Parameter DEV_CAP_ENDPOINT_L0S_LATENCY bound to: 0 - type: integer
  1195. Parameter DEV_CAP_ENDPOINT_L1_LATENCY bound to: 7 - type: integer
  1196. Parameter DEV_CAP_EXT_TAG_SUPPORTED bound to: FALSE - type: string
  1197. Parameter DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE bound to: FALSE - type: string
  1198. Parameter DEV_CAP_MAX_PAYLOAD_SUPPORTED bound to: 2 - type: integer
  1199. Parameter DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT bound to: 0 - type: integer
  1200. Parameter DEV_CAP_ROLE_BASED_ERROR bound to: TRUE - type: string
  1201. Parameter DEV_CAP_RSVD_14_12 bound to: 0 - type: integer
  1202. Parameter DEV_CAP_RSVD_17_16 bound to: 0 - type: integer
  1203. Parameter DEV_CAP_RSVD_31_29 bound to: 0 - type: integer
  1204. Parameter DEV_CONTROL_AUX_POWER_SUPPORTED bound to: FALSE - type: string
  1205. Parameter DEV_CONTROL_EXT_TAG_DEFAULT bound to: FALSE - type: string
  1206. Parameter DISABLE_ASPM_L1_TIMER bound to: FALSE - type: string
  1207. Parameter DISABLE_BAR_FILTERING bound to: FALSE - type: string
  1208. Parameter DISABLE_ERR_MSG bound to: FALSE - type: string
  1209. Parameter DISABLE_ID_CHECK bound to: FALSE - type: string
  1210. Parameter DISABLE_LANE_REVERSAL bound to: TRUE - type: string
  1211. Parameter DISABLE_LOCKED_FILTER bound to: FALSE - type: string
  1212. Parameter DISABLE_PPM_FILTER bound to: FALSE - type: string
  1213. Parameter DISABLE_RX_POISONED_RESP bound to: FALSE - type: string
  1214. Parameter DISABLE_RX_TC_FILTER bound to: FALSE - type: string
  1215. Parameter DISABLE_SCRAMBLING bound to: FALSE - type: string
  1216. Parameter DNSTREAM_LINK_NUM bound to: 8'b00000000
  1217. Parameter DSN_BASE_PTR bound to: 12'b000100000000
  1218. Parameter DSN_CAP_ID bound to: 16'b0000000000000011
  1219. Parameter DSN_CAP_NEXTPTR bound to: 12'b000000000000
  1220. Parameter DSN_CAP_ON bound to: TRUE - type: string
  1221. Parameter DSN_CAP_VERSION bound to: 4'b0001
  1222. Parameter ENABLE_MSG_ROUTE bound to: 11'b00000000000
  1223. Parameter ENABLE_RX_TD_ECRC_TRIM bound to: FALSE - type: string
  1224. Parameter ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED bound to: FALSE - type: string
  1225. Parameter ENTER_RVRY_EI_L0 bound to: TRUE - type: string
  1226. Parameter EXIT_LOOPBACK_ON_EI bound to: TRUE - type: string
  1227. Parameter EXPANSION_ROM bound to: 0 - type: integer
  1228. Parameter EXT_CFG_CAP_PTR bound to: 6'b111111
  1229. Parameter EXT_CFG_XP_CAP_PTR bound to: 10'b1111111111
  1230. Parameter HEADER_TYPE bound to: 8'b00000000
  1231. Parameter INFER_EI bound to: 5'b00000
  1232. Parameter INTERRUPT_PIN bound to: 8'b00000000
  1233. Parameter INTERRUPT_STAT_AUTO bound to: TRUE - type: string
  1234. Parameter IS_SWITCH bound to: FALSE - type: string
  1235. Parameter LAST_CONFIG_DWORD bound to: 10'b1111111111
  1236. Parameter LINK_CAP_ASPM_OPTIONALITY bound to: FALSE - type: string
  1237. Parameter LINK_CAP_ASPM_SUPPORT bound to: 1 - type: integer
  1238. Parameter LINK_CAP_CLOCK_POWER_MANAGEMENT bound to: FALSE - type: string
  1239. Parameter LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP bound to: FALSE - type: string
  1240. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  1241. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  1242. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  1243. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  1244. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  1245. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  1246. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  1247. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  1248. Parameter LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP bound to: FALSE - type: string
  1249. Parameter LINK_CAP_MAX_LINK_SPEED bound to: 4'b0010
  1250. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  1251. Parameter LINK_CAP_RSVD_23 bound to: 0 - type: integer
  1252. Parameter LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE bound to: FALSE - type: string
  1253. Parameter LINK_CONTROL_RCB bound to: 0 - type: integer
  1254. Parameter LINK_CTRL2_DEEMPHASIS bound to: FALSE - type: string
  1255. Parameter LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE bound to: FALSE - type: string
  1256. Parameter LINK_CTRL2_TARGET_LINK_SPEED bound to: 4'b0010
  1257. Parameter LINK_STATUS_SLOT_CLOCK_CONFIG bound to: TRUE - type: string
  1258. Parameter LL_ACK_TIMEOUT bound to: 15'b000000000000000
  1259. Parameter LL_ACK_TIMEOUT_EN bound to: FALSE - type: string
  1260. Parameter LL_ACK_TIMEOUT_FUNC bound to: 0 - type: integer
  1261. Parameter LL_REPLAY_TIMEOUT bound to: 15'b000000000000000
  1262. Parameter LL_REPLAY_TIMEOUT_EN bound to: FALSE - type: string
  1263. Parameter LL_REPLAY_TIMEOUT_FUNC bound to: 1 - type: integer
  1264. Parameter LTSSM_MAX_LINK_WIDTH bound to: 6'b000100
  1265. Parameter MPS_FORCE bound to: FALSE - type: string
  1266. Parameter MSIX_BASE_PTR bound to: 8'b10011100
  1267. Parameter MSIX_CAP_ID bound to: 8'b00010001
  1268. Parameter MSIX_CAP_NEXTPTR bound to: 8'b00000000
  1269. Parameter MSIX_CAP_ON bound to: FALSE - type: string
  1270. Parameter MSIX_CAP_PBA_BIR bound to: 0 - type: integer
  1271. Parameter MSIX_CAP_PBA_OFFSET bound to: 29'b00000000000000000000000000000
  1272. Parameter MSIX_CAP_TABLE_BIR bound to: 0 - type: integer
  1273. Parameter MSIX_CAP_TABLE_OFFSET bound to: 29'b00000000000000000000000000000
  1274. Parameter MSIX_CAP_TABLE_SIZE bound to: 11'b00000000000
  1275. Parameter MSI_BASE_PTR bound to: 8'b01001000
  1276. Parameter MSI_CAP_64_BIT_ADDR_CAPABLE bound to: FALSE - type: string
  1277. Parameter MSI_CAP_ID bound to: 8'b00000101
  1278. Parameter MSI_CAP_MULTIMSGCAP bound to: 0 - type: integer
  1279. Parameter MSI_CAP_MULTIMSG_EXTENSION bound to: 0 - type: integer
  1280. Parameter MSI_CAP_NEXTPTR bound to: 8'b01100000
  1281. Parameter MSI_CAP_ON bound to: TRUE - type: string
  1282. Parameter MSI_CAP_PER_VECTOR_MASKING_CAPABLE bound to: FALSE - type: string
  1283. Parameter N_FTS_COMCLK_GEN1 bound to: 255 - type: integer
  1284. Parameter N_FTS_COMCLK_GEN2 bound to: 255 - type: integer
  1285. Parameter N_FTS_GEN1 bound to: 255 - type: integer
  1286. Parameter N_FTS_GEN2 bound to: 255 - type: integer
  1287. Parameter PCIE_BASE_PTR bound to: 8'b01100000
  1288. Parameter PCIE_CAP_CAPABILITY_ID bound to: 8'b00010000
  1289. Parameter PCIE_CAP_CAPABILITY_VERSION bound to: 4'b0010
  1290. Parameter PCIE_CAP_DEVICE_PORT_TYPE bound to: 4'b0000
  1291. Parameter PCIE_CAP_NEXTPTR bound to: 8'b00000000
  1292. Parameter PCIE_CAP_ON bound to: TRUE - type: string
  1293. Parameter PCIE_CAP_RSVD_15_14 bound to: 0 - type: integer
  1294. Parameter PCIE_CAP_SLOT_IMPLEMENTED bound to: FALSE - type: string
  1295. Parameter PCIE_REVISION bound to: 2 - type: integer
  1296. Parameter PL_AUTO_CONFIG bound to: 0 - type: integer
  1297. Parameter PL_FAST_TRAIN bound to: FALSE - type: string
  1298. Parameter PM_ASPML0S_TIMEOUT bound to: 15'b000000000000000
  1299. Parameter PM_ASPML0S_TIMEOUT_EN bound to: FALSE - type: string
  1300. Parameter PM_ASPML0S_TIMEOUT_FUNC bound to: 0 - type: integer
  1301. Parameter PM_ASPM_FASTEXIT bound to: FALSE - type: string
  1302. Parameter PM_BASE_PTR bound to: 8'b01000000
  1303. Parameter PM_CAP_AUXCURRENT bound to: 0 - type: integer
  1304. Parameter PM_CAP_D1SUPPORT bound to: FALSE - type: string
  1305. Parameter PM_CAP_D2SUPPORT bound to: FALSE - type: string
  1306. Parameter PM_CAP_DSI bound to: FALSE - type: string
  1307. Parameter PM_CAP_ID bound to: 8'b00000001
  1308. Parameter PM_CAP_NEXTPTR bound to: 8'b01001000
  1309. Parameter PM_CAP_ON bound to: TRUE - type: string
  1310. Parameter PM_CAP_PMESUPPORT bound to: 5'b01111
  1311. Parameter PM_CAP_PME_CLOCK bound to: FALSE - type: string
  1312. Parameter PM_CAP_RSVD_04 bound to: 0 - type: integer
  1313. Parameter PM_CAP_VERSION bound to: 3 - type: integer
  1314. Parameter PM_CSR_B2B3 bound to: FALSE - type: string
  1315. Parameter PM_CSR_BPCCEN bound to: FALSE - type: string
  1316. Parameter PM_CSR_NOSOFTRST bound to: TRUE - type: string
  1317. Parameter PM_DATA0 bound to: 8'b00000000
  1318. Parameter PM_DATA1 bound to: 8'b00000000
  1319. Parameter PM_DATA2 bound to: 8'b00000000
  1320. Parameter PM_DATA3 bound to: 8'b00000000
  1321. Parameter PM_DATA4 bound to: 8'b00000000
  1322. Parameter PM_DATA5 bound to: 8'b00000000
  1323. Parameter PM_DATA6 bound to: 8'b00000000
  1324. Parameter PM_DATA7 bound to: 8'b00000000
  1325. Parameter PM_DATA_SCALE0 bound to: 2'b00
  1326. Parameter PM_DATA_SCALE1 bound to: 2'b00
  1327. Parameter PM_DATA_SCALE2 bound to: 2'b00
  1328. Parameter PM_DATA_SCALE3 bound to: 2'b00
  1329. Parameter PM_DATA_SCALE4 bound to: 2'b00
  1330. Parameter PM_DATA_SCALE5 bound to: 2'b00
  1331. Parameter PM_DATA_SCALE6 bound to: 2'b00
  1332. Parameter PM_DATA_SCALE7 bound to: 2'b00
  1333. Parameter PM_MF bound to: FALSE - type: string
  1334. Parameter RBAR_BASE_PTR bound to: 12'b000000000000
  1335. Parameter RBAR_CAP_CONTROL_ENCODEDBAR0 bound to: 5'b00000
  1336. Parameter RBAR_CAP_CONTROL_ENCODEDBAR1 bound to: 5'b00000
  1337. Parameter RBAR_CAP_CONTROL_ENCODEDBAR2 bound to: 5'b00000
  1338. Parameter RBAR_CAP_CONTROL_ENCODEDBAR3 bound to: 5'b00000
  1339. Parameter RBAR_CAP_CONTROL_ENCODEDBAR4 bound to: 5'b00000
  1340. Parameter RBAR_CAP_CONTROL_ENCODEDBAR5 bound to: 5'b00000
  1341. Parameter RBAR_CAP_ID bound to: 16'b0000000000010101
  1342. Parameter RBAR_CAP_INDEX0 bound to: 3'b000
  1343. Parameter RBAR_CAP_INDEX1 bound to: 3'b000
  1344. Parameter RBAR_CAP_INDEX2 bound to: 3'b000
  1345. Parameter RBAR_CAP_INDEX3 bound to: 3'b000
  1346. Parameter RBAR_CAP_INDEX4 bound to: 3'b000
  1347. Parameter RBAR_CAP_INDEX5 bound to: 3'b000
  1348. Parameter RBAR_CAP_NEXTPTR bound to: 12'b000000000000
  1349. Parameter RBAR_CAP_ON bound to: FALSE - type: string
  1350. Parameter RBAR_CAP_SUP0 bound to: 1 - type: integer
  1351. Parameter RBAR_CAP_SUP1 bound to: 1 - type: integer
  1352. Parameter RBAR_CAP_SUP2 bound to: 1 - type: integer
  1353. Parameter RBAR_CAP_SUP3 bound to: 1 - type: integer
  1354. Parameter RBAR_CAP_SUP4 bound to: 1 - type: integer
  1355. Parameter RBAR_CAP_SUP5 bound to: 1 - type: integer
  1356. Parameter RBAR_CAP_VERSION bound to: 4'b0001
  1357. Parameter RBAR_NUM bound to: 3'b000
  1358. Parameter RECRC_CHK bound to: 0 - type: integer
  1359. Parameter RECRC_CHK_TRIM bound to: FALSE - type: string
  1360. Parameter ROOT_CAP_CRS_SW_VISIBILITY bound to: FALSE - type: string
  1361. Parameter RP_AUTO_SPD bound to: 2'b01
  1362. Parameter RP_AUTO_SPD_LOOPCNT bound to: 5'b11111
  1363. Parameter SELECT_DLL_IF bound to: FALSE - type: string
  1364. Parameter SIM_VERSION bound to: 1.0 - type: string
  1365. Parameter SLOT_CAP_ATT_BUTTON_PRESENT bound to: FALSE - type: string
  1366. Parameter SLOT_CAP_ATT_INDICATOR_PRESENT bound to: FALSE - type: string
  1367. Parameter SLOT_CAP_ELEC_INTERLOCK_PRESENT bound to: FALSE - type: string
  1368. Parameter SLOT_CAP_HOTPLUG_CAPABLE bound to: FALSE - type: string
  1369. Parameter SLOT_CAP_HOTPLUG_SURPRISE bound to: FALSE - type: string
  1370. Parameter SLOT_CAP_MRL_SENSOR_PRESENT bound to: FALSE - type: string
  1371. Parameter SLOT_CAP_NO_CMD_COMPLETED_SUPPORT bound to: FALSE - type: string
  1372. Parameter SLOT_CAP_PHYSICAL_SLOT_NUM bound to: 13'b0000000000000
  1373. Parameter SLOT_CAP_POWER_CONTROLLER_PRESENT bound to: FALSE - type: string
  1374. Parameter SLOT_CAP_POWER_INDICATOR_PRESENT bound to: FALSE - type: string
  1375. Parameter SLOT_CAP_SLOT_POWER_LIMIT_SCALE bound to: 0 - type: integer
  1376. Parameter SLOT_CAP_SLOT_POWER_LIMIT_VALUE bound to: 8'b00000000
  1377. Parameter SPARE_BIT0 bound to: 0 - type: integer
  1378. Parameter SPARE_BIT1 bound to: 0 - type: integer
  1379. Parameter SPARE_BIT2 bound to: 0 - type: integer
  1380. Parameter SPARE_BIT3 bound to: 0 - type: integer
  1381. Parameter SPARE_BIT4 bound to: 0 - type: integer
  1382. Parameter SPARE_BIT5 bound to: 0 - type: integer
  1383. Parameter SPARE_BIT6 bound to: 0 - type: integer
  1384. Parameter SPARE_BIT7 bound to: 0 - type: integer
  1385. Parameter SPARE_BIT8 bound to: 0 - type: integer
  1386. Parameter SPARE_BYTE0 bound to: 8'b00000000
  1387. Parameter SPARE_BYTE1 bound to: 8'b00000000
  1388. Parameter SPARE_BYTE2 bound to: 8'b00000000
  1389. Parameter SPARE_BYTE3 bound to: 8'b00000000
  1390. Parameter SPARE_WORD0 bound to: 0 - type: integer
  1391. Parameter SPARE_WORD1 bound to: 0 - type: integer
  1392. Parameter SPARE_WORD2 bound to: 0 - type: integer
  1393. Parameter SPARE_WORD3 bound to: 0 - type: integer
  1394. Parameter SSL_MESSAGE_AUTO bound to: FALSE - type: string
  1395. Parameter TECRC_EP_INV bound to: FALSE - type: string
  1396. Parameter TL_RBYPASS bound to: FALSE - type: string
  1397. Parameter TL_RX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  1398. Parameter TL_RX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  1399. Parameter TL_RX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  1400. Parameter TL_TFC_DISABLE bound to: FALSE - type: string
  1401. Parameter TL_TX_CHECKS_DISABLE bound to: FALSE - type: string
  1402. Parameter TL_TX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  1403. Parameter TL_TX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  1404. Parameter TL_TX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  1405. Parameter TRN_DW bound to: TRUE - type: string
  1406. Parameter TRN_NP_FC bound to: TRUE - type: string
  1407. Parameter UPCONFIG_CAPABLE bound to: TRUE - type: string
  1408. Parameter UPSTREAM_FACING bound to: TRUE - type: string
  1409. Parameter UR_ATOMIC bound to: FALSE - type: string
  1410. Parameter UR_CFG1 bound to: TRUE - type: string
  1411. Parameter UR_INV_REQ bound to: TRUE - type: string
  1412. Parameter UR_PRS_RESPONSE bound to: TRUE - type: string
  1413. Parameter USER_CLK2_DIV2 bound to: TRUE - type: string
  1414. Parameter USER_CLK_FREQ bound to: 3 - type: integer
  1415. Parameter USE_RID_PINS bound to: FALSE - type: string
  1416. Parameter VC0_CPL_INFINITE bound to: TRUE - type: string
  1417. Parameter VC0_RX_RAM_LIMIT bound to: 13'b0011111111111
  1418. Parameter VC0_TOTAL_CREDITS_CD bound to: 850 - type: integer
  1419. Parameter VC0_TOTAL_CREDITS_CH bound to: 72 - type: integer
  1420. Parameter VC0_TOTAL_CREDITS_NPD bound to: 8 - type: integer
  1421. Parameter VC0_TOTAL_CREDITS_NPH bound to: 4 - type: integer
  1422. Parameter VC0_TOTAL_CREDITS_PD bound to: 64 - type: integer
  1423. Parameter VC0_TOTAL_CREDITS_PH bound to: 4 - type: integer
  1424. Parameter VC0_TX_LASTPACKET bound to: 29 - type: integer
  1425. Parameter VC_BASE_PTR bound to: 12'b000000000000
  1426. Parameter VC_CAP_ID bound to: 16'b0000000000000010
  1427. Parameter VC_CAP_NEXTPTR bound to: 12'b000000000000
  1428. Parameter VC_CAP_ON bound to: FALSE - type: string
  1429. Parameter VC_CAP_REJECT_SNOOP_TRANSACTIONS bound to: FALSE - type: string
  1430. Parameter VC_CAP_VERSION bound to: 4'b0001
  1431. Parameter VSEC_BASE_PTR bound to: 12'b000000000000
  1432. Parameter VSEC_CAP_HDR_ID bound to: 16'b0001001000110100
  1433. Parameter VSEC_CAP_HDR_LENGTH bound to: 12'b000000011000
  1434. Parameter VSEC_CAP_HDR_REVISION bound to: 4'b0001
  1435. Parameter VSEC_CAP_ID bound to: 16'b0000000000001011
  1436. Parameter VSEC_CAP_IS_LINK_VISIBLE bound to: TRUE - type: string
  1437. Parameter VSEC_CAP_NEXTPTR bound to: 12'b000000000000
  1438. Parameter VSEC_CAP_ON bound to: FALSE - type: string
  1439. Parameter VSEC_CAP_VERSION bound to: 4'b0001
  1440. Parameter ENABLE_JTAG_DBG bound to: FALSE - type: string
  1441. Parameter TCQ bound to: 1 - type: integer
  1442. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_bram_top_7x' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_top_7x.v:72]
  1443. Parameter IMPL_TARGET bound to: HARD - type: string
  1444. Parameter DEV_CAP_MAX_PAYLOAD_SUPPORTED bound to: 2 - type: integer
  1445. Parameter LINK_CAP_MAX_LINK_SPEED bound to: 4'b0010
  1446. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  1447. Parameter VC0_TX_LASTPACKET bound to: 29 - type: integer
  1448. Parameter TLM_TX_OVERHEAD bound to: 24 - type: integer
  1449. Parameter TL_TX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  1450. Parameter TL_TX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  1451. Parameter TL_TX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  1452. Parameter VC0_RX_RAM_LIMIT bound to: 13'b0011111111111
  1453. Parameter TL_RX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  1454. Parameter TL_RX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  1455. Parameter TL_RX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  1456. Parameter MPS_BYTES bound to: 512 - type: integer
  1457. Parameter BYTES_TX bound to: 16080 - type: integer
  1458. Parameter ROWS_TX bound to: 1 - type: integer
  1459. Parameter COLS_TX bound to: 4 - type: integer
  1460. Parameter ROWS_RX bound to: 1 - type: integer
  1461. Parameter COLS_RX bound to: 4 - type: integer
  1462. WARNING: [Synth 8-639] system function call 'time' not supported [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_top_7x.v:138]
  1463. INFO: [Synth 8-251] [1'b0] ROWS_TX 1 COLS_TX 4 [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_top_7x.v:138]
  1464. WARNING: [Synth 8-639] system function call 'time' not supported [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_top_7x.v:139]
  1465. INFO: [Synth 8-251] [1'b0] ROWS_RX 1 COLS_RX 4 [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_top_7x.v:139]
  1466. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_brams_7x' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_brams_7x.v:65]
  1467. Parameter LINK_CAP_MAX_LINK_SPEED bound to: 4'b0010
  1468. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  1469. Parameter IMPL_TARGET bound to: HARD - type: string
  1470. Parameter NUM_BRAMS bound to: 4 - type: integer
  1471. Parameter RAM_RADDR_LATENCY bound to: 0 - type: integer
  1472. Parameter RAM_RDATA_LATENCY bound to: 2 - type: integer
  1473. Parameter RAM_WRITE_LATENCY bound to: 0 - type: integer
  1474. Parameter TCQ bound to: 1 - type: integer
  1475. Parameter DOB_REG bound to: 1 - type: integer
  1476. Parameter WIDTH bound to: 7'b0010010
  1477. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_bram_7x' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_7x.v:63]
  1478. Parameter LINK_CAP_MAX_LINK_SPEED bound to: 4'b0010
  1479. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  1480. Parameter IMPL_TARGET bound to: HARD - type: string
  1481. Parameter DOB_REG bound to: 1 - type: integer
  1482. Parameter WIDTH bound to: 7'b0010010
  1483. Parameter ADDR_MSB bound to: 10 - type: integer
  1484. Parameter ADDR_LO_BITS bound to: 4 - type: integer
  1485. Parameter D_MSB bound to: 15 - type: integer
  1486. Parameter DP_LSB bound to: 16 - type: integer
  1487. Parameter DP_MSB bound to: 17 - type: integer
  1488. Parameter DPW bound to: 2 - type: integer
  1489. Parameter WRITE_MODE bound to: NO_CHANGE - type: string
  1490. Parameter DEVICE bound to: 7SERIES - type: string
  1491. Parameter BRAM_SIZE bound to: 36Kb - type: string
  1492. Parameter WE_WIDTH bound to: 2 - type: integer
  1493. INFO: [Synth 8-6157] synthesizing module 'BRAM_TDP_MACRO' [/tools/Xilinx/Vivado/2020.2/data/verilog/src/unimacro/BRAM_TDP_MACRO.v:30]
  1494. Parameter BRAM_SIZE bound to: 36Kb - type: string
  1495. Parameter DEVICE bound to: 7SERIES - type: string
  1496. Parameter DOA_REG bound to: 0 - type: integer
  1497. Parameter DOB_REG bound to: 1 - type: integer
  1498. Parameter INIT_A bound to: 36'b000000000000000000000000000000000000
  1499. Parameter INIT_B bound to: 36'b000000000000000000000000000000000000
  1500. Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1501. Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1502. Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1503. Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1504. Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1505. Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1506. Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1507. Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1508. Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1509. Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1510. Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1511. Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1512. Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1513. Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1514. Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1515. Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1516. Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1517. Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1518. Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1519. Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1520. Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1521. Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1522. Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1523. Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1524. Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1525. Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1526. Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1527. Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1528. Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1529. Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1530. Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1531. Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1532. Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1533. Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1534. Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1535. Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1536. Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1537. Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1538. Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1539. Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1540. Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1541. Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1542. Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1543. Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1544. Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1545. Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1546. Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1547. Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1548. Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1549. Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1550. Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1551. Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1552. Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1553. Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1554. Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1555. Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1556. Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1557. Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1558. Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1559. Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1560. Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1561. Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1562. Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1563. Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1564. Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1565. Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1566. Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1567. Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1568. Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1569. Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1570. Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1571. Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1572. Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1573. Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1574. Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1575. Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1576. Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1577. Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1578. Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1579. Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1580. Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1581. Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1582. Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1583. Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1584. Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1585. Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1586. Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1587. Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1588. Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1589. Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1590. Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1591. Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1592. Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1593. Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1594. Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1595. Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1596. Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1597. Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1598. Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1599. Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1600. Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1601. Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1602. Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1603. Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1604. Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1605. Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1606. Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1607. Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1608. Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1609. Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1610. Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1611. Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1612. Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1613. Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1614. Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1615. Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1616. Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1617. Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1618. Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1619. Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1620. Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1621. Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1622. Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1623. Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1624. Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1625. Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1626. Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1627. Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1628. Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1629. Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1630. Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1631. Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1632. Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1633. Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1634. Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1635. Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1636. Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1637. Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1638. Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1639. Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1640. Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1641. Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1642. Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1643. Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1644. Parameter INIT_FILE bound to: NONE - type: string
  1645. Parameter READ_WIDTH_A bound to: 7'b0010010
  1646. Parameter READ_WIDTH_B bound to: 7'b0010010
  1647. Parameter SIM_COLLISION_CHECK bound to: ALL - type: string
  1648. Parameter SIM_MODE bound to: FAST - type: string
  1649. Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000
  1650. Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000
  1651. Parameter WRITE_MODE_A bound to: NO_CHANGE - type: string
  1652. Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string
  1653. Parameter WRITE_WIDTH_A bound to: 7'b0010010
  1654. Parameter WRITE_WIDTH_B bound to: 7'b0010010
  1655. Parameter WRITEA_P bound to: TRUE - type: string
  1656. Parameter WRITEB_P bound to: TRUE - type: string
  1657. Parameter READA_P bound to: TRUE - type: string
  1658. Parameter READB_P bound to: TRUE - type: string
  1659. Parameter valid_width_a bound to: TRUE - type: string
  1660. Parameter valid_width_b bound to: TRUE - type: string
  1661. Parameter rd_width_a bound to: 18 - type: integer
  1662. Parameter rd_width_b bound to: 18 - type: integer
  1663. Parameter wr_width_a bound to: 18 - type: integer
  1664. Parameter wr_width_b bound to: 18 - type: integer
  1665. Parameter DIA_WIDTH bound to: 16 - type: integer
  1666. Parameter DIB_WIDTH bound to: 16 - type: integer
  1667. Parameter DOA_WIDTH bound to: 16 - type: integer
  1668. Parameter DOB_WIDTH bound to: 16 - type: integer
  1669. Parameter DIPA_WIDTH bound to: 2 - type: integer
  1670. Parameter DIPB_WIDTH bound to: 2 - type: integer
  1671. Parameter DOPA_WIDTH bound to: 2 - type: integer
  1672. Parameter DOPB_WIDTH bound to: 2 - type: integer
  1673. Parameter WEA_WIDTH bound to: 2 - type: integer
  1674. Parameter WEB_WIDTH bound to: 2 - type: integer
  1675. Parameter least_width_A bound to: 16 - type: integer
  1676. Parameter least_width_B bound to: 16 - type: integer
  1677. Parameter RDA_BYTE_WIDTH bound to: 2 - type: integer
  1678. Parameter RDB_BYTE_WIDTH bound to: 2 - type: integer
  1679. Parameter WRA_WIDTHP bound to: 2 - type: integer
  1680. Parameter WRB_WIDTHP bound to: 2 - type: integer
  1681. Parameter RDA_WIDTHP bound to: 2 - type: integer
  1682. Parameter RDB_WIDTHP bound to: 2 - type: integer
  1683. Parameter ADDRA_WIDTH bound to: 11 - type: integer
  1684. Parameter ADDRB_WIDTH bound to: 11 - type: integer
  1685. Parameter MAX_ADDRA_SIZE bound to: 16 - type: integer
  1686. Parameter MAX_ADDRB_SIZE bound to: 16 - type: integer
  1687. Parameter MAX_DIA_SIZE bound to: 32 - type: integer
  1688. Parameter MAX_DIB_SIZE bound to: 32 - type: integer
  1689. Parameter MAX_DIPA_SIZE bound to: 4 - type: integer
  1690. Parameter MAX_DIPB_SIZE bound to: 4 - type: integer
  1691. Parameter MAX_DOA_SIZE bound to: 32 - type: integer
  1692. Parameter MAX_DOB_SIZE bound to: 32 - type: integer
  1693. Parameter MAX_DOPA_SIZE bound to: 4 - type: integer
  1694. Parameter MAX_DOPB_SIZE bound to: 4 - type: integer
  1695. Parameter MAX_WEA_SIZE bound to: 4 - type: integer
  1696. Parameter MAX_WEB_SIZE bound to: 4 - type: integer
  1697. Parameter fin_rd_widtha bound to: 18 - type: integer
  1698. Parameter fin_rd_widthb bound to: 18 - type: integer
  1699. Parameter fin_wr_widtha bound to: 18 - type: integer
  1700. Parameter fin_wr_widthb bound to: 18 - type: integer
  1701. Parameter INIT_SRVAL_WIDTH_SIZE bound to: 36 - type: integer
  1702. Parameter inita_tmp bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000
  1703. Parameter initb_tmp bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000
  1704. Parameter srvala_tmp bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000
  1705. Parameter srvalb_tmp bound to: 72'b000000000000000000000000000000000000000000000000000000000000000000000000
  1706. Parameter sim_device_pm bound to: 7SERIES - type: string
  1707. INFO: [Synth 8-6157] synthesizing module 'RAMB36E1' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:75938]
  1708. Parameter DOA_REG bound to: 0 - type: integer
  1709. Parameter DOB_REG bound to: 1 - type: integer
  1710. Parameter EN_ECC_READ bound to: FALSE - type: string
  1711. Parameter EN_ECC_WRITE bound to: FALSE - type: string
  1712. Parameter INITP_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1713. Parameter INITP_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1714. Parameter INITP_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1715. Parameter INITP_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1716. Parameter INITP_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1717. Parameter INITP_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1718. Parameter INITP_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1719. Parameter INITP_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1720. Parameter INITP_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1721. Parameter INITP_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1722. Parameter INITP_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1723. Parameter INITP_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1724. Parameter INITP_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1725. Parameter INITP_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1726. Parameter INITP_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1727. Parameter INITP_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1728. Parameter INIT_00 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1729. Parameter INIT_01 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1730. Parameter INIT_02 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1731. Parameter INIT_03 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1732. Parameter INIT_04 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1733. Parameter INIT_05 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1734. Parameter INIT_06 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1735. Parameter INIT_07 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1736. Parameter INIT_08 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1737. Parameter INIT_09 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1738. Parameter INIT_0A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1739. Parameter INIT_0B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1740. Parameter INIT_0C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1741. Parameter INIT_0D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1742. Parameter INIT_0E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1743. Parameter INIT_0F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1744. Parameter INIT_10 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1745. Parameter INIT_11 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1746. Parameter INIT_12 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1747. Parameter INIT_13 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1748. Parameter INIT_14 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1749. Parameter INIT_15 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1750. Parameter INIT_16 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1751. Parameter INIT_17 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1752. Parameter INIT_18 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1753. Parameter INIT_19 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1754. Parameter INIT_1A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1755. Parameter INIT_1B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1756. Parameter INIT_1C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1757. Parameter INIT_1D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1758. Parameter INIT_1E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1759. Parameter INIT_1F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1760. Parameter INIT_20 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1761. Parameter INIT_21 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1762. Parameter INIT_22 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1763. Parameter INIT_23 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1764. Parameter INIT_24 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1765. Parameter INIT_25 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1766. Parameter INIT_26 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1767. Parameter INIT_27 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1768. Parameter INIT_28 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1769. Parameter INIT_29 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1770. Parameter INIT_2A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1771. Parameter INIT_2B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1772. Parameter INIT_2C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1773. Parameter INIT_2D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1774. Parameter INIT_2E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1775. Parameter INIT_2F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1776. Parameter INIT_30 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1777. Parameter INIT_31 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1778. Parameter INIT_32 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1779. Parameter INIT_33 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1780. Parameter INIT_34 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1781. Parameter INIT_35 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1782. Parameter INIT_36 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1783. Parameter INIT_37 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1784. Parameter INIT_38 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1785. Parameter INIT_39 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1786. Parameter INIT_3A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1787. Parameter INIT_3B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1788. Parameter INIT_3C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1789. Parameter INIT_3D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1790. Parameter INIT_3E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1791. Parameter INIT_3F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1792. Parameter INIT_40 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1793. Parameter INIT_41 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1794. Parameter INIT_42 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1795. Parameter INIT_43 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1796. Parameter INIT_44 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1797. Parameter INIT_45 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1798. Parameter INIT_46 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1799. Parameter INIT_47 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1800. Parameter INIT_48 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1801. Parameter INIT_49 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1802. Parameter INIT_4A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1803. Parameter INIT_4B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1804. Parameter INIT_4C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1805. Parameter INIT_4D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1806. Parameter INIT_4E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1807. Parameter INIT_4F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1808. Parameter INIT_50 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1809. Parameter INIT_51 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1810. Parameter INIT_52 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1811. Parameter INIT_53 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1812. Parameter INIT_54 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1813. Parameter INIT_55 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1814. Parameter INIT_56 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1815. Parameter INIT_57 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1816. Parameter INIT_58 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1817. Parameter INIT_59 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1818. Parameter INIT_5A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1819. Parameter INIT_5B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1820. Parameter INIT_5C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1821. Parameter INIT_5D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1822. Parameter INIT_5E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1823. Parameter INIT_5F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1824. Parameter INIT_60 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1825. Parameter INIT_61 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1826. Parameter INIT_62 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1827. Parameter INIT_63 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1828. Parameter INIT_64 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1829. Parameter INIT_65 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1830. Parameter INIT_66 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1831. Parameter INIT_67 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1832. Parameter INIT_68 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1833. Parameter INIT_69 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1834. Parameter INIT_6A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1835. Parameter INIT_6B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1836. Parameter INIT_6C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1837. Parameter INIT_6D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1838. Parameter INIT_6E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1839. Parameter INIT_6F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1840. Parameter INIT_70 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1841. Parameter INIT_71 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1842. Parameter INIT_72 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1843. Parameter INIT_73 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1844. Parameter INIT_74 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1845. Parameter INIT_75 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1846. Parameter INIT_76 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1847. Parameter INIT_77 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1848. Parameter INIT_78 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1849. Parameter INIT_79 bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1850. Parameter INIT_7A bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1851. Parameter INIT_7B bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1852. Parameter INIT_7C bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1853. Parameter INIT_7D bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1854. Parameter INIT_7E bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1855. Parameter INIT_7F bound to: 256'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
  1856. Parameter INIT_A bound to: 36'b000000000000000000000000000000000000
  1857. Parameter INIT_B bound to: 36'b000000000000000000000000000000000000
  1858. Parameter INIT_FILE bound to: NONE - type: string
  1859. Parameter IS_CLKARDCLK_INVERTED bound to: 1'b0
  1860. Parameter IS_CLKBWRCLK_INVERTED bound to: 1'b0
  1861. Parameter IS_ENARDEN_INVERTED bound to: 1'b0
  1862. Parameter IS_ENBWREN_INVERTED bound to: 1'b0
  1863. Parameter IS_RSTRAMARSTRAM_INVERTED bound to: 1'b0
  1864. Parameter IS_RSTRAMB_INVERTED bound to: 1'b0
  1865. Parameter IS_RSTREGARSTREG_INVERTED bound to: 1'b0
  1866. Parameter IS_RSTREGB_INVERTED bound to: 1'b0
  1867. Parameter RAM_EXTENSION_A bound to: NONE - type: string
  1868. Parameter RAM_EXTENSION_B bound to: NONE - type: string
  1869. Parameter RAM_MODE bound to: TDP - type: string
  1870. Parameter RDADDR_COLLISION_HWCONFIG bound to: DELAYED_WRITE - type: string
  1871. Parameter READ_WIDTH_A bound to: 18 - type: integer
  1872. Parameter READ_WIDTH_B bound to: 18 - type: integer
  1873. Parameter RSTREG_PRIORITY_A bound to: RSTREG - type: string
  1874. Parameter RSTREG_PRIORITY_B bound to: RSTREG - type: string
  1875. Parameter SIM_COLLISION_CHECK bound to: ALL - type: string
  1876. Parameter SIM_DEVICE bound to: 7SERIES - type: string
  1877. Parameter SRVAL_A bound to: 36'b000000000000000000000000000000000000
  1878. Parameter SRVAL_B bound to: 36'b000000000000000000000000000000000000
  1879. Parameter WRITE_MODE_A bound to: NO_CHANGE - type: string
  1880. Parameter WRITE_MODE_B bound to: WRITE_FIRST - type: string
  1881. Parameter WRITE_WIDTH_A bound to: 18 - type: integer
  1882. Parameter WRITE_WIDTH_B bound to: 18 - type: integer
  1883. INFO: [Synth 8-6155] done synthesizing module 'RAMB36E1' (9#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:75938]
  1884. INFO: [Synth 8-6155] done synthesizing module 'BRAM_TDP_MACRO' (10#1) [/tools/Xilinx/Vivado/2020.2/data/verilog/src/unimacro/BRAM_TDP_MACRO.v:30]
  1885. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_bram_7x' (11#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_7x.v:63]
  1886. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_brams_7x' (12#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_brams_7x.v:65]
  1887. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_bram_top_7x' (13#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_bram_top_7x.v:72]
  1888. INFO: [Synth 8-6157] synthesizing module 'PCIE_2_1' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:56852]
  1889. Parameter AER_BASE_PTR bound to: 12'b000000000000
  1890. Parameter AER_CAP_ECRC_CHECK_CAPABLE bound to: FALSE - type: string
  1891. Parameter AER_CAP_ECRC_GEN_CAPABLE bound to: FALSE - type: string
  1892. Parameter AER_CAP_ID bound to: 16'b0000000000000001
  1893. Parameter AER_CAP_MULTIHEADER bound to: FALSE - type: string
  1894. Parameter AER_CAP_NEXTPTR bound to: 12'b000000000000
  1895. Parameter AER_CAP_ON bound to: FALSE - type: string
  1896. Parameter AER_CAP_OPTIONAL_ERR_SUPPORT bound to: 24'b000000000000000000000000
  1897. Parameter AER_CAP_PERMIT_ROOTERR_UPDATE bound to: FALSE - type: string
  1898. Parameter AER_CAP_VERSION bound to: 4'b0001
  1899. Parameter ALLOW_X8_GEN2 bound to: FALSE - type: string
  1900. Parameter BAR0 bound to: -1048576 - type: integer
  1901. Parameter BAR1 bound to: 0 - type: integer
  1902. Parameter BAR2 bound to: 0 - type: integer
  1903. Parameter BAR3 bound to: 0 - type: integer
  1904. Parameter BAR4 bound to: 0 - type: integer
  1905. Parameter BAR5 bound to: 0 - type: integer
  1906. Parameter CAPABILITIES_PTR bound to: 8'b01000000
  1907. Parameter CARDBUS_CIS_POINTER bound to: 0 - type: integer
  1908. Parameter CFG_ECRC_ERR_CPLSTAT bound to: 0 - type: integer
  1909. Parameter CLASS_CODE bound to: 24'b000001011000000000000000
  1910. Parameter CMD_INTX_IMPLEMENTED bound to: FALSE - type: string
  1911. Parameter CPL_TIMEOUT_DISABLE_SUPPORTED bound to: FALSE - type: string
  1912. Parameter CPL_TIMEOUT_RANGES_SUPPORTED bound to: 4'b0010
  1913. Parameter CRM_MODULE_RSTS bound to: 7'b0000000
  1914. Parameter DEV_CAP2_ARI_FORWARDING_SUPPORTED bound to: FALSE - type: string
  1915. Parameter DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED bound to: FALSE - type: string
  1916. Parameter DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED bound to: FALSE - type: string
  1917. Parameter DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED bound to: FALSE - type: string
  1918. Parameter DEV_CAP2_CAS128_COMPLETER_SUPPORTED bound to: FALSE - type: string
  1919. Parameter DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED bound to: FALSE - type: string
  1920. Parameter DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED bound to: FALSE - type: string
  1921. Parameter DEV_CAP2_LTR_MECHANISM_SUPPORTED bound to: FALSE - type: string
  1922. Parameter DEV_CAP2_MAX_ENDEND_TLP_PREFIXES bound to: 2'b00
  1923. Parameter DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING bound to: FALSE - type: string
  1924. Parameter DEV_CAP2_TPH_COMPLETER_SUPPORTED bound to: 2'b00
  1925. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE bound to: TRUE - type: string
  1926. Parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE bound to: TRUE - type: string
  1927. Parameter DEV_CAP_ENDPOINT_L0S_LATENCY bound to: 0 - type: integer
  1928. Parameter DEV_CAP_ENDPOINT_L1_LATENCY bound to: 7 - type: integer
  1929. Parameter DEV_CAP_EXT_TAG_SUPPORTED bound to: FALSE - type: string
  1930. Parameter DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE bound to: FALSE - type: string
  1931. Parameter DEV_CAP_MAX_PAYLOAD_SUPPORTED bound to: 2 - type: integer
  1932. Parameter DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT bound to: 0 - type: integer
  1933. Parameter DEV_CAP_ROLE_BASED_ERROR bound to: TRUE - type: string
  1934. Parameter DEV_CAP_RSVD_14_12 bound to: 0 - type: integer
  1935. Parameter DEV_CAP_RSVD_17_16 bound to: 0 - type: integer
  1936. Parameter DEV_CAP_RSVD_31_29 bound to: 0 - type: integer
  1937. Parameter DEV_CONTROL_AUX_POWER_SUPPORTED bound to: FALSE - type: string
  1938. Parameter DEV_CONTROL_EXT_TAG_DEFAULT bound to: FALSE - type: string
  1939. Parameter DISABLE_ASPM_L1_TIMER bound to: FALSE - type: string
  1940. Parameter DISABLE_BAR_FILTERING bound to: FALSE - type: string
  1941. Parameter DISABLE_ERR_MSG bound to: FALSE - type: string
  1942. Parameter DISABLE_ID_CHECK bound to: FALSE - type: string
  1943. Parameter DISABLE_LANE_REVERSAL bound to: TRUE - type: string
  1944. Parameter DISABLE_LOCKED_FILTER bound to: FALSE - type: string
  1945. Parameter DISABLE_PPM_FILTER bound to: FALSE - type: string
  1946. Parameter DISABLE_RX_POISONED_RESP bound to: FALSE - type: string
  1947. Parameter DISABLE_RX_TC_FILTER bound to: FALSE - type: string
  1948. Parameter DISABLE_SCRAMBLING bound to: FALSE - type: string
  1949. Parameter DNSTREAM_LINK_NUM bound to: 8'b00000000
  1950. Parameter DSN_BASE_PTR bound to: 12'b000100000000
  1951. Parameter DSN_CAP_ID bound to: 16'b0000000000000011
  1952. Parameter DSN_CAP_NEXTPTR bound to: 12'b000000000000
  1953. Parameter DSN_CAP_ON bound to: TRUE - type: string
  1954. Parameter DSN_CAP_VERSION bound to: 4'b0001
  1955. Parameter ENABLE_MSG_ROUTE bound to: 11'b00000000000
  1956. Parameter ENABLE_RX_TD_ECRC_TRIM bound to: FALSE - type: string
  1957. Parameter ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED bound to: FALSE - type: string
  1958. Parameter ENTER_RVRY_EI_L0 bound to: TRUE - type: string
  1959. Parameter EXIT_LOOPBACK_ON_EI bound to: TRUE - type: string
  1960. Parameter EXPANSION_ROM bound to: 0 - type: integer
  1961. Parameter EXT_CFG_CAP_PTR bound to: 6'b111111
  1962. Parameter EXT_CFG_XP_CAP_PTR bound to: 10'b1111111111
  1963. Parameter HEADER_TYPE bound to: 8'b00000000
  1964. Parameter INFER_EI bound to: 5'b00000
  1965. Parameter INTERRUPT_PIN bound to: 8'b00000000
  1966. Parameter INTERRUPT_STAT_AUTO bound to: TRUE - type: string
  1967. Parameter IS_SWITCH bound to: FALSE - type: string
  1968. Parameter LAST_CONFIG_DWORD bound to: 10'b1111111111
  1969. Parameter LINK_CAP_ASPM_OPTIONALITY bound to: FALSE - type: string
  1970. Parameter LINK_CAP_ASPM_SUPPORT bound to: 1 - type: integer
  1971. Parameter LINK_CAP_CLOCK_POWER_MANAGEMENT bound to: FALSE - type: string
  1972. Parameter LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP bound to: FALSE - type: string
  1973. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  1974. Parameter LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  1975. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  1976. Parameter LINK_CAP_L0S_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  1977. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 bound to: 7 - type: integer
  1978. Parameter LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 bound to: 7 - type: integer
  1979. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN1 bound to: 7 - type: integer
  1980. Parameter LINK_CAP_L1_EXIT_LATENCY_GEN2 bound to: 7 - type: integer
  1981. Parameter LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP bound to: FALSE - type: string
  1982. Parameter LINK_CAP_MAX_LINK_SPEED bound to: 4'b0010
  1983. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  1984. Parameter LINK_CAP_RSVD_23 bound to: 0 - type: integer
  1985. Parameter LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE bound to: FALSE - type: string
  1986. Parameter LINK_CONTROL_RCB bound to: 0 - type: integer
  1987. Parameter LINK_CTRL2_DEEMPHASIS bound to: FALSE - type: string
  1988. Parameter LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE bound to: FALSE - type: string
  1989. Parameter LINK_CTRL2_TARGET_LINK_SPEED bound to: 4'b0010
  1990. Parameter LINK_STATUS_SLOT_CLOCK_CONFIG bound to: TRUE - type: string
  1991. Parameter LL_ACK_TIMEOUT bound to: 15'b000000000000000
  1992. Parameter LL_ACK_TIMEOUT_EN bound to: FALSE - type: string
  1993. Parameter LL_ACK_TIMEOUT_FUNC bound to: 0 - type: integer
  1994. Parameter LL_REPLAY_TIMEOUT bound to: 15'b000000000000000
  1995. Parameter LL_REPLAY_TIMEOUT_EN bound to: FALSE - type: string
  1996. Parameter LL_REPLAY_TIMEOUT_FUNC bound to: 1 - type: integer
  1997. Parameter LTSSM_MAX_LINK_WIDTH bound to: 6'b000100
  1998. Parameter MPS_FORCE bound to: FALSE - type: string
  1999. Parameter MSIX_BASE_PTR bound to: 8'b10011100
  2000. Parameter MSIX_CAP_ID bound to: 8'b00010001
  2001. Parameter MSIX_CAP_NEXTPTR bound to: 8'b00000000
  2002. Parameter MSIX_CAP_ON bound to: FALSE - type: string
  2003. Parameter MSIX_CAP_PBA_BIR bound to: 0 - type: integer
  2004. Parameter MSIX_CAP_PBA_OFFSET bound to: 29'b00000000000000000000000000000
  2005. Parameter MSIX_CAP_TABLE_BIR bound to: 0 - type: integer
  2006. Parameter MSIX_CAP_TABLE_OFFSET bound to: 29'b00000000000000000000000000000
  2007. Parameter MSIX_CAP_TABLE_SIZE bound to: 11'b00000000000
  2008. Parameter MSI_BASE_PTR bound to: 8'b01001000
  2009. Parameter MSI_CAP_64_BIT_ADDR_CAPABLE bound to: FALSE - type: string
  2010. Parameter MSI_CAP_ID bound to: 8'b00000101
  2011. Parameter MSI_CAP_MULTIMSGCAP bound to: 0 - type: integer
  2012. Parameter MSI_CAP_MULTIMSG_EXTENSION bound to: 0 - type: integer
  2013. Parameter MSI_CAP_NEXTPTR bound to: 8'b01100000
  2014. Parameter MSI_CAP_ON bound to: TRUE - type: string
  2015. Parameter MSI_CAP_PER_VECTOR_MASKING_CAPABLE bound to: FALSE - type: string
  2016. Parameter N_FTS_COMCLK_GEN1 bound to: 255 - type: integer
  2017. Parameter N_FTS_COMCLK_GEN2 bound to: 255 - type: integer
  2018. Parameter N_FTS_GEN1 bound to: 255 - type: integer
  2019. Parameter N_FTS_GEN2 bound to: 255 - type: integer
  2020. Parameter PCIE_BASE_PTR bound to: 8'b01100000
  2021. Parameter PCIE_CAP_CAPABILITY_ID bound to: 8'b00010000
  2022. Parameter PCIE_CAP_CAPABILITY_VERSION bound to: 4'b0010
  2023. Parameter PCIE_CAP_DEVICE_PORT_TYPE bound to: 4'b0000
  2024. Parameter PCIE_CAP_NEXTPTR bound to: 8'b00000000
  2025. Parameter PCIE_CAP_ON bound to: TRUE - type: string
  2026. Parameter PCIE_CAP_RSVD_15_14 bound to: 0 - type: integer
  2027. Parameter PCIE_CAP_SLOT_IMPLEMENTED bound to: FALSE - type: string
  2028. Parameter PCIE_REVISION bound to: 2 - type: integer
  2029. Parameter PL_AUTO_CONFIG bound to: 0 - type: integer
  2030. Parameter PL_FAST_TRAIN bound to: FALSE - type: string
  2031. Parameter PM_ASPML0S_TIMEOUT bound to: 15'b000000000000000
  2032. Parameter PM_ASPML0S_TIMEOUT_EN bound to: FALSE - type: string
  2033. Parameter PM_ASPML0S_TIMEOUT_FUNC bound to: 0 - type: integer
  2034. Parameter PM_ASPM_FASTEXIT bound to: FALSE - type: string
  2035. Parameter PM_BASE_PTR bound to: 8'b01000000
  2036. Parameter PM_CAP_AUXCURRENT bound to: 0 - type: integer
  2037. Parameter PM_CAP_D1SUPPORT bound to: FALSE - type: string
  2038. Parameter PM_CAP_D2SUPPORT bound to: FALSE - type: string
  2039. Parameter PM_CAP_DSI bound to: FALSE - type: string
  2040. Parameter PM_CAP_ID bound to: 8'b00000001
  2041. Parameter PM_CAP_NEXTPTR bound to: 8'b01001000
  2042. Parameter PM_CAP_ON bound to: TRUE - type: string
  2043. Parameter PM_CAP_PMESUPPORT bound to: 5'b01111
  2044. Parameter PM_CAP_PME_CLOCK bound to: FALSE - type: string
  2045. Parameter PM_CAP_RSVD_04 bound to: 0 - type: integer
  2046. Parameter PM_CAP_VERSION bound to: 3 - type: integer
  2047. Parameter PM_CSR_B2B3 bound to: FALSE - type: string
  2048. Parameter PM_CSR_BPCCEN bound to: FALSE - type: string
  2049. Parameter PM_CSR_NOSOFTRST bound to: TRUE - type: string
  2050. Parameter PM_DATA0 bound to: 8'b00000000
  2051. Parameter PM_DATA1 bound to: 8'b00000000
  2052. Parameter PM_DATA2 bound to: 8'b00000000
  2053. Parameter PM_DATA3 bound to: 8'b00000000
  2054. Parameter PM_DATA4 bound to: 8'b00000000
  2055. Parameter PM_DATA5 bound to: 8'b00000000
  2056. Parameter PM_DATA6 bound to: 8'b00000000
  2057. Parameter PM_DATA7 bound to: 8'b00000000
  2058. Parameter PM_DATA_SCALE0 bound to: 2'b00
  2059. Parameter PM_DATA_SCALE1 bound to: 2'b00
  2060. Parameter PM_DATA_SCALE2 bound to: 2'b00
  2061. Parameter PM_DATA_SCALE3 bound to: 2'b00
  2062. Parameter PM_DATA_SCALE4 bound to: 2'b00
  2063. Parameter PM_DATA_SCALE5 bound to: 2'b00
  2064. Parameter PM_DATA_SCALE6 bound to: 2'b00
  2065. Parameter PM_DATA_SCALE7 bound to: 2'b00
  2066. Parameter PM_MF bound to: FALSE - type: string
  2067. Parameter RBAR_BASE_PTR bound to: 12'b000000000000
  2068. Parameter RBAR_CAP_CONTROL_ENCODEDBAR0 bound to: 5'b00000
  2069. Parameter RBAR_CAP_CONTROL_ENCODEDBAR1 bound to: 5'b00000
  2070. Parameter RBAR_CAP_CONTROL_ENCODEDBAR2 bound to: 5'b00000
  2071. Parameter RBAR_CAP_CONTROL_ENCODEDBAR3 bound to: 5'b00000
  2072. Parameter RBAR_CAP_CONTROL_ENCODEDBAR4 bound to: 5'b00000
  2073. Parameter RBAR_CAP_CONTROL_ENCODEDBAR5 bound to: 5'b00000
  2074. Parameter RBAR_CAP_ID bound to: 16'b0000000000010101
  2075. Parameter RBAR_CAP_INDEX0 bound to: 3'b000
  2076. Parameter RBAR_CAP_INDEX1 bound to: 3'b000
  2077. Parameter RBAR_CAP_INDEX2 bound to: 3'b000
  2078. Parameter RBAR_CAP_INDEX3 bound to: 3'b000
  2079. Parameter RBAR_CAP_INDEX4 bound to: 3'b000
  2080. Parameter RBAR_CAP_INDEX5 bound to: 3'b000
  2081. Parameter RBAR_CAP_NEXTPTR bound to: 12'b000000000000
  2082. Parameter RBAR_CAP_ON bound to: FALSE - type: string
  2083. Parameter RBAR_CAP_SUP0 bound to: 1 - type: integer
  2084. Parameter RBAR_CAP_SUP1 bound to: 1 - type: integer
  2085. Parameter RBAR_CAP_SUP2 bound to: 1 - type: integer
  2086. Parameter RBAR_CAP_SUP3 bound to: 1 - type: integer
  2087. Parameter RBAR_CAP_SUP4 bound to: 1 - type: integer
  2088. Parameter RBAR_CAP_SUP5 bound to: 1 - type: integer
  2089. Parameter RBAR_CAP_VERSION bound to: 4'b0001
  2090. Parameter RBAR_NUM bound to: 3'b000
  2091. Parameter RECRC_CHK bound to: 0 - type: integer
  2092. Parameter RECRC_CHK_TRIM bound to: FALSE - type: string
  2093. Parameter ROOT_CAP_CRS_SW_VISIBILITY bound to: FALSE - type: string
  2094. Parameter RP_AUTO_SPD bound to: 2'b01
  2095. Parameter RP_AUTO_SPD_LOOPCNT bound to: 5'b11111
  2096. Parameter SELECT_DLL_IF bound to: FALSE - type: string
  2097. Parameter SIM_VERSION bound to: 1.0 - type: string
  2098. Parameter SLOT_CAP_ATT_BUTTON_PRESENT bound to: FALSE - type: string
  2099. Parameter SLOT_CAP_ATT_INDICATOR_PRESENT bound to: FALSE - type: string
  2100. Parameter SLOT_CAP_ELEC_INTERLOCK_PRESENT bound to: FALSE - type: string
  2101. Parameter SLOT_CAP_HOTPLUG_CAPABLE bound to: FALSE - type: string
  2102. Parameter SLOT_CAP_HOTPLUG_SURPRISE bound to: FALSE - type: string
  2103. Parameter SLOT_CAP_MRL_SENSOR_PRESENT bound to: FALSE - type: string
  2104. Parameter SLOT_CAP_NO_CMD_COMPLETED_SUPPORT bound to: FALSE - type: string
  2105. Parameter SLOT_CAP_PHYSICAL_SLOT_NUM bound to: 13'b0000000000000
  2106. Parameter SLOT_CAP_POWER_CONTROLLER_PRESENT bound to: FALSE - type: string
  2107. Parameter SLOT_CAP_POWER_INDICATOR_PRESENT bound to: FALSE - type: string
  2108. Parameter SLOT_CAP_SLOT_POWER_LIMIT_SCALE bound to: 0 - type: integer
  2109. Parameter SLOT_CAP_SLOT_POWER_LIMIT_VALUE bound to: 8'b00000000
  2110. Parameter SPARE_BIT0 bound to: 0 - type: integer
  2111. Parameter SPARE_BIT1 bound to: 0 - type: integer
  2112. Parameter SPARE_BIT2 bound to: 0 - type: integer
  2113. Parameter SPARE_BIT3 bound to: 0 - type: integer
  2114. Parameter SPARE_BIT4 bound to: 0 - type: integer
  2115. Parameter SPARE_BIT5 bound to: 0 - type: integer
  2116. Parameter SPARE_BIT6 bound to: 0 - type: integer
  2117. Parameter SPARE_BIT7 bound to: 0 - type: integer
  2118. Parameter SPARE_BIT8 bound to: 0 - type: integer
  2119. Parameter SPARE_BYTE0 bound to: 8'b00000000
  2120. Parameter SPARE_BYTE1 bound to: 8'b00000000
  2121. Parameter SPARE_BYTE2 bound to: 8'b00000000
  2122. Parameter SPARE_BYTE3 bound to: 8'b00000000
  2123. Parameter SPARE_WORD0 bound to: 0 - type: integer
  2124. Parameter SPARE_WORD1 bound to: 0 - type: integer
  2125. Parameter SPARE_WORD2 bound to: 0 - type: integer
  2126. Parameter SPARE_WORD3 bound to: 0 - type: integer
  2127. Parameter SSL_MESSAGE_AUTO bound to: FALSE - type: string
  2128. Parameter TECRC_EP_INV bound to: FALSE - type: string
  2129. Parameter TL_RBYPASS bound to: FALSE - type: string
  2130. Parameter TL_RX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  2131. Parameter TL_RX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  2132. Parameter TL_RX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  2133. Parameter TL_TFC_DISABLE bound to: FALSE - type: string
  2134. Parameter TL_TX_CHECKS_DISABLE bound to: FALSE - type: string
  2135. Parameter TL_TX_RAM_RADDR_LATENCY bound to: 0 - type: integer
  2136. Parameter TL_TX_RAM_RDATA_LATENCY bound to: 2 - type: integer
  2137. Parameter TL_TX_RAM_WRITE_LATENCY bound to: 0 - type: integer
  2138. Parameter TRN_DW bound to: TRUE - type: string
  2139. Parameter TRN_NP_FC bound to: TRUE - type: string
  2140. Parameter UPCONFIG_CAPABLE bound to: TRUE - type: string
  2141. Parameter UPSTREAM_FACING bound to: TRUE - type: string
  2142. Parameter UR_ATOMIC bound to: FALSE - type: string
  2143. Parameter UR_CFG1 bound to: TRUE - type: string
  2144. Parameter UR_INV_REQ bound to: TRUE - type: string
  2145. Parameter UR_PRS_RESPONSE bound to: TRUE - type: string
  2146. Parameter USER_CLK2_DIV2 bound to: TRUE - type: string
  2147. Parameter USER_CLK_FREQ bound to: 3 - type: integer
  2148. Parameter USE_RID_PINS bound to: FALSE - type: string
  2149. Parameter VC0_CPL_INFINITE bound to: TRUE - type: string
  2150. Parameter VC0_RX_RAM_LIMIT bound to: 13'b0011111111111
  2151. Parameter VC0_TOTAL_CREDITS_CD bound to: 850 - type: integer
  2152. Parameter VC0_TOTAL_CREDITS_CH bound to: 72 - type: integer
  2153. Parameter VC0_TOTAL_CREDITS_NPD bound to: 8 - type: integer
  2154. Parameter VC0_TOTAL_CREDITS_NPH bound to: 4 - type: integer
  2155. Parameter VC0_TOTAL_CREDITS_PD bound to: 64 - type: integer
  2156. Parameter VC0_TOTAL_CREDITS_PH bound to: 4 - type: integer
  2157. Parameter VC0_TX_LASTPACKET bound to: 29 - type: integer
  2158. Parameter VC_BASE_PTR bound to: 12'b000000000000
  2159. Parameter VC_CAP_ID bound to: 16'b0000000000000010
  2160. Parameter VC_CAP_NEXTPTR bound to: 12'b000000000000
  2161. Parameter VC_CAP_ON bound to: FALSE - type: string
  2162. Parameter VC_CAP_REJECT_SNOOP_TRANSACTIONS bound to: FALSE - type: string
  2163. Parameter VC_CAP_VERSION bound to: 4'b0001
  2164. Parameter VSEC_BASE_PTR bound to: 12'b000000000000
  2165. Parameter VSEC_CAP_HDR_ID bound to: 16'b0001001000110100
  2166. Parameter VSEC_CAP_HDR_LENGTH bound to: 12'b000000011000
  2167. Parameter VSEC_CAP_HDR_REVISION bound to: 4'b0001
  2168. Parameter VSEC_CAP_ID bound to: 16'b0000000000001011
  2169. Parameter VSEC_CAP_IS_LINK_VISIBLE bound to: TRUE - type: string
  2170. Parameter VSEC_CAP_NEXTPTR bound to: 12'b000000000000
  2171. Parameter VSEC_CAP_ON bound to: FALSE - type: string
  2172. Parameter VSEC_CAP_VERSION bound to: 4'b0001
  2173. INFO: [Synth 8-6155] done synthesizing module 'PCIE_2_1' (14#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:56852]
  2174. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_7x' (15#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_7x.v:63]
  2175. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_pipe_pipeline' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_pipe_pipeline.v:63]
  2176. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  2177. Parameter PIPE_PIPELINE_STAGES bound to: 1 - type: integer
  2178. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_pipe_lane' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_pipe_lane.v:63]
  2179. Parameter PIPE_PIPELINE_STAGES bound to: 1 - type: integer
  2180. Parameter TCQ bound to: 1 - type: integer
  2181. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_pipe_lane' (16#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_pipe_lane.v:63]
  2182. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pcie_pipe_misc' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_pipe_misc.v:63]
  2183. Parameter PIPE_PIPELINE_STAGES bound to: 1 - type: integer
  2184. Parameter TCQ bound to: 1 - type: integer
  2185. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_pipe_misc' (17#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_pipe_misc.v:63]
  2186. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_pipe_pipeline' (18#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_pipe_pipeline.v:63]
  2187. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie_top' (19#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie_top.v:62]
  2188. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gt_top' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_top.v:62]
  2189. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 4 - type: integer
  2190. Parameter REF_CLK_FREQ bound to: 0 - type: integer
  2191. Parameter USER_CLK2_DIV2 bound to: TRUE - type: string
  2192. Parameter USER_CLK_FREQ bound to: 3 - type: integer
  2193. Parameter PL_FAST_TRAIN bound to: FALSE - type: string
  2194. Parameter PCIE_EXT_CLK bound to: TRUE - type: string
  2195. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  2196. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2197. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  2198. Parameter PCIE_ASYNC_EN bound to: FALSE - type: string
  2199. Parameter PCIE_TXBUF_EN bound to: FALSE - type: string
  2200. Parameter PCIE_EXT_GT_COMMON bound to: FALSE - type: string
  2201. Parameter EXT_CH_GT_DRP bound to: FALSE - type: string
  2202. Parameter TX_MARGIN_FULL_0 bound to: 7'b1001111
  2203. Parameter TX_MARGIN_FULL_1 bound to: 7'b1001110
  2204. Parameter TX_MARGIN_FULL_2 bound to: 7'b1001101
  2205. Parameter TX_MARGIN_FULL_3 bound to: 7'b1001100
  2206. Parameter TX_MARGIN_FULL_4 bound to: 7'b1000011
  2207. Parameter TX_MARGIN_LOW_0 bound to: 7'b1000101
  2208. Parameter TX_MARGIN_LOW_1 bound to: 7'b1000110
  2209. Parameter TX_MARGIN_LOW_2 bound to: 7'b1000011
  2210. Parameter TX_MARGIN_LOW_3 bound to: 7'b1000010
  2211. Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000
  2212. Parameter PCIE_CHAN_BOND bound to: 1 - type: integer
  2213. Parameter TCQ bound to: 1 - type: integer
  2214. Parameter USERCLK2_FREQ bound to: 2 - type: integer
  2215. Parameter PCIE_LPM_DFE bound to: LPM - type: string
  2216. Parameter PCIE_LINK_SPEED bound to: 3 - type: integer
  2217. Parameter PCIE_OOBCLK_MODE_ENABLE bound to: 1 - type: integer
  2218. Parameter PCIE_TX_EIDLE_ASSERT_DELAY bound to: 3'b010
  2219. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gt_rx_valid_filter_7x' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_rx_valid_filter_7x.v:62]
  2220. Parameter CLK_COR_MIN_LAT bound to: 28 - type: integer
  2221. Parameter TCQ bound to: 1 - type: integer
  2222. Parameter EIOS_DET_IDL bound to: 5'b00001
  2223. Parameter EIOS_DET_NO_STR0 bound to: 5'b00010
  2224. Parameter EIOS_DET_STR0 bound to: 5'b00100
  2225. Parameter EIOS_DET_STR1 bound to: 5'b01000
  2226. Parameter EIOS_DET_DONE bound to: 5'b10000
  2227. Parameter EIOS_COM bound to: 8'b10111100
  2228. Parameter EIOS_IDL bound to: 8'b01111100
  2229. Parameter FTSOS_COM bound to: 8'b10111100
  2230. Parameter FTSOS_FTS bound to: 8'b00111100
  2231. Parameter USER_RXVLD_IDL bound to: 4'b0001
  2232. Parameter USER_RXVLD_EI bound to: 4'b0010
  2233. Parameter USER_RXVLD_EI_DB0 bound to: 4'b0100
  2234. Parameter USER_RXVLD_EI_DB1 bound to: 4'b1000
  2235. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_rx_valid_filter_7x.v:190]
  2236. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gt_rx_valid_filter_7x' (20#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_rx_valid_filter_7x.v:62]
  2237. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pipe_wrapper' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_wrapper.v:156]
  2238. Parameter PCIE_SIM_MODE bound to: FALSE - type: string
  2239. Parameter PCIE_SIM_SPEEDUP bound to: FALSE - type: string
  2240. Parameter PCIE_SIM_TX_EIDLE_DRIVE_LEVEL bound to: 1 - type: string
  2241. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2242. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  2243. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  2244. Parameter PCIE_AUX_CDR_GEN3_EN bound to: TRUE - type: string
  2245. Parameter PCIE_LPM_DFE bound to: LPM - type: string
  2246. Parameter PCIE_LPM_DFE_GEN3 bound to: DFE - type: string
  2247. Parameter PCIE_EXT_CLK bound to: TRUE - type: string
  2248. Parameter PCIE_EXT_GT_COMMON bound to: FALSE - type: string
  2249. Parameter EXT_CH_GT_DRP bound to: FALSE - type: string
  2250. Parameter TX_MARGIN_FULL_0 bound to: 7'b1001111
  2251. Parameter TX_MARGIN_FULL_1 bound to: 7'b1001110
  2252. Parameter TX_MARGIN_FULL_2 bound to: 7'b1001101
  2253. Parameter TX_MARGIN_FULL_3 bound to: 7'b1001100
  2254. Parameter TX_MARGIN_FULL_4 bound to: 7'b1000011
  2255. Parameter TX_MARGIN_LOW_0 bound to: 7'b1000101
  2256. Parameter TX_MARGIN_LOW_1 bound to: 7'b1000110
  2257. Parameter TX_MARGIN_LOW_2 bound to: 7'b1000011
  2258. Parameter TX_MARGIN_LOW_3 bound to: 7'b1000010
  2259. Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000
  2260. Parameter PCIE_POWER_SAVING bound to: TRUE - type: string
  2261. Parameter PCIE_ASYNC_EN bound to: FALSE - type: string
  2262. Parameter PCIE_TXBUF_EN bound to: FALSE - type: string
  2263. Parameter PCIE_RXBUF_EN bound to: TRUE - type: string
  2264. Parameter PCIE_TXSYNC_MODE bound to: 0 - type: integer
  2265. Parameter PCIE_RXSYNC_MODE bound to: 0 - type: integer
  2266. Parameter PCIE_CHAN_BOND bound to: 1 - type: integer
  2267. Parameter PCIE_CHAN_BOND_EN bound to: TRUE - type: string
  2268. Parameter PCIE_LANE bound to: 4 - type: integer
  2269. Parameter PCIE_LINK_SPEED bound to: 3 - type: integer
  2270. Parameter PCIE_REFCLK_FREQ bound to: 0 - type: integer
  2271. Parameter PCIE_USERCLK1_FREQ bound to: 4 - type: integer
  2272. Parameter PCIE_USERCLK2_FREQ bound to: 3 - type: integer
  2273. Parameter PCIE_TX_EIDLE_ASSERT_DELAY bound to: 3'b010
  2274. Parameter PCIE_RXEQ_MODE_GEN3 bound to: 1 - type: integer
  2275. Parameter PCIE_OOBCLK_MODE bound to: 1 - type: integer
  2276. Parameter PCIE_JTAG_MODE bound to: 0 - type: integer
  2277. Parameter PCIE_DEBUG_MODE bound to: 0 - type: integer
  2278. Parameter TXEQ_FS bound to: 6'b101000
  2279. Parameter TXEQ_LF bound to: 6'b001111
  2280. Parameter GC_XSDB_SLAVE_TYPE bound to: 16'b0000010000000000
  2281. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gtp_pipe_reset' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_pipe_reset.v:67]
  2282. Parameter PCIE_SIM_SPEEDUP bound to: FALSE - type: string
  2283. Parameter PCIE_LANE bound to: 4 - type: integer
  2284. Parameter CFG_WAIT_MAX bound to: 6'b111111
  2285. Parameter BYPASS_RXCDRLOCK bound to: 1 - type: integer
  2286. Parameter FSM_IDLE bound to: 5'b00000
  2287. Parameter FSM_CFG_WAIT bound to: 5'b00001
  2288. Parameter FSM_PLLRESET bound to: 5'b00010
  2289. Parameter FSM_DRP_X16_START bound to: 5'b00011
  2290. Parameter FSM_DRP_X16_DONE bound to: 5'b00100
  2291. Parameter FSM_PLLLOCK bound to: 5'b00101
  2292. Parameter FSM_GTRESET bound to: 5'b00110
  2293. Parameter FSM_RXPMARESETDONE_1 bound to: 5'b00111
  2294. Parameter FSM_RXPMARESETDONE_2 bound to: 5'b01000
  2295. Parameter FSM_DRP_X20_START bound to: 5'b01001
  2296. Parameter FSM_DRP_X20_DONE bound to: 5'b01010
  2297. Parameter FSM_MMCM_LOCK bound to: 5'b01011
  2298. Parameter FSM_RESETDONE bound to: 5'b01100
  2299. Parameter FSM_TXSYNC_START bound to: 5'b01101
  2300. Parameter FSM_TXSYNC_DONE bound to: 5'b01110
  2301. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gtp_pipe_reset' (21#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_pipe_reset.v:67]
  2302. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_qpll_reset' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_qpll_reset.v:66]
  2303. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  2304. Parameter PCIE_POWER_SAVING bound to: TRUE - type: string
  2305. Parameter PCIE_LANE bound to: 4 - type: integer
  2306. Parameter BYPASS_COARSE_OVRD bound to: 1 - type: integer
  2307. Parameter FSM_IDLE bound to: 1 - type: integer
  2308. Parameter FSM_WAIT_LOCK bound to: 2 - type: integer
  2309. Parameter FSM_MMCM_LOCK bound to: 3 - type: integer
  2310. Parameter FSM_DRP_START_NOM bound to: 4 - type: integer
  2311. Parameter FSM_DRP_DONE_NOM bound to: 5 - type: integer
  2312. Parameter FSM_QPLLLOCK bound to: 6 - type: integer
  2313. Parameter FSM_DRP_START_OPT bound to: 7 - type: integer
  2314. Parameter FSM_DRP_DONE_OPT bound to: 8 - type: integer
  2315. Parameter FSM_QPLL_RESET bound to: 9 - type: integer
  2316. Parameter FSM_QPLLLOCK2 bound to: 10 - type: integer
  2317. Parameter FSM_QPLL_PDRESET bound to: 11 - type: integer
  2318. Parameter FSM_QPLL_PD bound to: 12 - type: integer
  2319. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_qpll_reset' (22#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_qpll_reset.v:66]
  2320. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gtp_pipe_rate' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_pipe_rate.v:67]
  2321. Parameter PCIE_SIM_SPEEDUP bound to: FALSE - type: string
  2322. Parameter TXDATA_WAIT_MAX bound to: 4'b1111
  2323. Parameter FSM_IDLE bound to: 0 - type: integer
  2324. Parameter FSM_TXDATA_WAIT bound to: 1 - type: integer
  2325. Parameter FSM_PCLK_SEL bound to: 2 - type: integer
  2326. Parameter FSM_DRP_X16_START bound to: 3 - type: integer
  2327. Parameter FSM_DRP_X16_DONE bound to: 4 - type: integer
  2328. Parameter FSM_RATE_SEL bound to: 5 - type: integer
  2329. Parameter FSM_RXPMARESETDONE bound to: 6 - type: integer
  2330. Parameter FSM_DRP_X20_START bound to: 7 - type: integer
  2331. Parameter FSM_DRP_X20_DONE bound to: 8 - type: integer
  2332. Parameter FSM_RATE_DONE bound to: 9 - type: integer
  2333. Parameter FSM_TXSYNC_START bound to: 10 - type: integer
  2334. Parameter FSM_TXSYNC_DONE bound to: 11 - type: integer
  2335. Parameter FSM_DONE bound to: 12 - type: integer
  2336. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gtp_pipe_rate' (23#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_pipe_rate.v:67]
  2337. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gtp_pipe_drp' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_pipe_drp.v:67]
  2338. Parameter LOAD_CNT_MAX bound to: 2'b01
  2339. Parameter INDEX_MAX bound to: 1'b0
  2340. Parameter ADDR_RX_DATAWIDTH bound to: 9'b000010001
  2341. Parameter MASK_RX_DATAWIDTH bound to: 16'b1111011111111111
  2342. Parameter X16_RX_DATAWIDTH bound to: 16'b0000000000000000
  2343. Parameter X20_RX_DATAWIDTH bound to: 16'b0000100000000000
  2344. Parameter FSM_IDLE bound to: 0 - type: integer
  2345. Parameter FSM_LOAD bound to: 1 - type: integer
  2346. Parameter FSM_READ bound to: 2 - type: integer
  2347. Parameter FSM_RRDY bound to: 3 - type: integer
  2348. Parameter FSM_WRITE bound to: 4 - type: integer
  2349. Parameter FSM_WRDY bound to: 5 - type: integer
  2350. Parameter FSM_DONE bound to: 6 - type: integer
  2351. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gtp_pipe_drp' (24#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_pipe_drp.v:67]
  2352. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pipe_eq' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_eq.v:67]
  2353. Parameter PCIE_SIM_MODE bound to: FALSE - type: string
  2354. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2355. Parameter PCIE_RXEQ_MODE_GEN3 bound to: 1 - type: integer
  2356. Parameter FSM_TXEQ_IDLE bound to: 6'b000001
  2357. Parameter FSM_TXEQ_PRESET bound to: 6'b000010
  2358. Parameter FSM_TXEQ_TXCOEFF bound to: 6'b000100
  2359. Parameter FSM_TXEQ_REMAP bound to: 6'b001000
  2360. Parameter FSM_TXEQ_QUERY bound to: 6'b010000
  2361. Parameter FSM_TXEQ_DONE bound to: 6'b100000
  2362. Parameter FSM_RXEQ_IDLE bound to: 6'b000001
  2363. Parameter FSM_RXEQ_PRESET bound to: 6'b000010
  2364. Parameter FSM_RXEQ_TXCOEFF bound to: 6'b000100
  2365. Parameter FSM_RXEQ_LF bound to: 6'b001000
  2366. Parameter FSM_RXEQ_NEW_TXCOEFF_REQ bound to: 6'b010000
  2367. Parameter FSM_RXEQ_DONE bound to: 6'b100000
  2368. Parameter TXPRECURSOR_00 bound to: 6'b000000
  2369. Parameter TXMAINCURSOR_00 bound to: 7'b0111100
  2370. Parameter TXPOSTCURSOR_00 bound to: 6'b010100
  2371. Parameter TXPRECURSOR_01 bound to: 6'b000000
  2372. Parameter TXMAINCURSOR_01 bound to: 7'b1000100
  2373. Parameter TXPOSTCURSOR_01 bound to: 6'b001101
  2374. Parameter TXPRECURSOR_02 bound to: 6'b000000
  2375. Parameter TXMAINCURSOR_02 bound to: 7'b1000000
  2376. Parameter TXPOSTCURSOR_02 bound to: 6'b010000
  2377. Parameter TXPRECURSOR_03 bound to: 6'b000000
  2378. Parameter TXMAINCURSOR_03 bound to: 7'b1000110
  2379. Parameter TXPOSTCURSOR_03 bound to: 6'b001010
  2380. Parameter TXPRECURSOR_04 bound to: 6'b000000
  2381. Parameter TXMAINCURSOR_04 bound to: 7'b1010000
  2382. Parameter TXPOSTCURSOR_04 bound to: 6'b000000
  2383. Parameter TXPRECURSOR_05 bound to: 6'b001000
  2384. Parameter TXMAINCURSOR_05 bound to: 7'b1001000
  2385. Parameter TXPOSTCURSOR_05 bound to: 6'b000000
  2386. Parameter TXPRECURSOR_06 bound to: 6'b001010
  2387. Parameter TXMAINCURSOR_06 bound to: 7'b1000110
  2388. Parameter TXPOSTCURSOR_06 bound to: 6'b000000
  2389. Parameter TXPRECURSOR_07 bound to: 6'b001000
  2390. Parameter TXMAINCURSOR_07 bound to: 7'b0111000
  2391. Parameter TXPOSTCURSOR_07 bound to: 6'b010000
  2392. Parameter TXPRECURSOR_08 bound to: 6'b001010
  2393. Parameter TXMAINCURSOR_08 bound to: 7'b0111100
  2394. Parameter TXPOSTCURSOR_08 bound to: 6'b001010
  2395. Parameter TXPRECURSOR_09 bound to: 6'b001101
  2396. Parameter TXMAINCURSOR_09 bound to: 7'b1000100
  2397. Parameter TXPOSTCURSOR_09 bound to: 6'b000000
  2398. Parameter TXPRECURSOR_10 bound to: 6'b000000
  2399. Parameter TXMAINCURSOR_10 bound to: 7'b0111000
  2400. Parameter TXPOSTCURSOR_10 bound to: 6'b011001
  2401. INFO: [Synth 8-226] default block is never used [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_eq.v:401]
  2402. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_rxeq_scan' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_rxeq_scan.v:66]
  2403. Parameter PCIE_SIM_MODE bound to: FALSE - type: string
  2404. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2405. Parameter PCIE_RXEQ_MODE_GEN3 bound to: 1 - type: integer
  2406. Parameter CONVERGE_MAX bound to: 22'b1011111010111100001000
  2407. Parameter CONVERGE_MAX_BYPASS bound to: 22'b0111111100101000000101
  2408. Parameter FSM_IDLE bound to: 4'b0001
  2409. Parameter FSM_PRESET bound to: 4'b0010
  2410. Parameter FSM_CONVERGE bound to: 4'b0100
  2411. Parameter FSM_NEW_TXCOEFF_REQ bound to: 4'b1000
  2412. Parameter converge_max_cnt bound to: 22'b1011111010111100001000
  2413. Parameter converge_max_bypass_cnt bound to: 22'b0111111100101000000101
  2414. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_rxeq_scan' (25#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_rxeq_scan.v:66]
  2415. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pipe_eq' (26#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_eq.v:67]
  2416. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gt_common' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_common.v:56]
  2417. Parameter PCIE_SIM_MODE bound to: FALSE - type: string
  2418. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2419. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  2420. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  2421. Parameter PCIE_REFCLK_FREQ bound to: 0 - type: integer
  2422. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_qpll_drp' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_qpll_drp.v:67]
  2423. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2424. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  2425. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  2426. Parameter PCIE_REFCLK_FREQ bound to: 0 - type: integer
  2427. Parameter LOAD_CNT_MAX bound to: 2'b11
  2428. Parameter INDEX_MAX bound to: 3'b110
  2429. Parameter ADDR_QPLL_FBDIV bound to: 8'b00110110
  2430. Parameter ADDR_QPLL_CFG bound to: 8'b00110010
  2431. Parameter ADDR_QPLL_LPF bound to: 8'b00110001
  2432. Parameter ADDR_CRSCODE bound to: 8'b10001000
  2433. Parameter ADDR_QPLL_COARSE_FREQ_OVRD bound to: 8'b00110101
  2434. Parameter ADDR_QPLL_COARSE_FREQ_OVRD_EN bound to: 8'b00110110
  2435. Parameter ADDR_QPLL_LOCK_CFG bound to: 8'b00110100
  2436. Parameter MASK_QPLL_FBDIV bound to: 16'b1111110000000000
  2437. Parameter MASK_QPLL_CFG bound to: 16'b1111111110111111
  2438. Parameter MASK_QPLL_LPF bound to: 16'b1000011111111111
  2439. Parameter MASK_QPLL_COARSE_FREQ_OVRD bound to: 16'b0000001111111111
  2440. Parameter MASK_QPLL_COARSE_FREQ_OVRD_EN bound to: 16'b1111011111111111
  2441. Parameter MASK_QPLL_LOCK_CFG bound to: 16'b1110011111111111
  2442. Parameter NORM_QPLL_COARSE_FREQ_OVRD bound to: 16'b0000000000000000
  2443. Parameter NORM_QPLL_COARSE_FREQ_OVRD_EN bound to: 16'b0000000000000000
  2444. Parameter NORM_QPLL_LOCK_CFG bound to: 16'b0000000000000000
  2445. Parameter OVRD_QPLL_COARSE_FREQ_OVRD bound to: 16'b0000000000000000
  2446. Parameter OVRD_QPLL_COARSE_FREQ_OVRD_EN bound to: 16'b0000100000000000
  2447. Parameter OVRD_QPLL_LOCK_CFG bound to: 16'b0000000000000000
  2448. Parameter QPLL_FBDIV bound to: 16'b0000000100100000
  2449. Parameter GEN12_QPLL_FBDIV bound to: 16'b0000000101110000
  2450. Parameter GEN3_QPLL_FBDIV bound to: 16'b0000000100100000
  2451. Parameter GEN12_QPLL_CFG bound to: 16'b0000000001000000
  2452. Parameter GEN3_QPLL_CFG bound to: 16'b0000000001000000
  2453. Parameter GEN12_QPLL_LPF bound to: 16'b0110100000000000
  2454. Parameter GEN3_QPLL_LPF bound to: 16'b0110100000000000
  2455. Parameter FSM_IDLE bound to: 9'b000000001
  2456. Parameter FSM_LOAD bound to: 9'b000000010
  2457. Parameter FSM_READ bound to: 9'b000000100
  2458. Parameter FSM_RRDY bound to: 9'b000001000
  2459. Parameter FSM_WRITE bound to: 9'b000010000
  2460. Parameter FSM_WRDY bound to: 9'b000100000
  2461. Parameter FSM_DONE bound to: 9'b001000000
  2462. Parameter FSM_QPLLRESET bound to: 9'b010000000
  2463. Parameter FSM_QPLLLOCK bound to: 9'b100000000
  2464. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_qpll_drp' (27#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_qpll_drp.v:67]
  2465. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_qpll_wrapper' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_qpll_wrapper.v:67]
  2466. Parameter PCIE_SIM_MODE bound to: FALSE - type: string
  2467. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2468. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  2469. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  2470. Parameter PCIE_REFCLK_FREQ bound to: 0 - type: integer
  2471. Parameter QPLL_FBDIV bound to: 10'b0100100000
  2472. Parameter GTP_QPLL_FBDIV bound to: 3'b101
  2473. Parameter BIAS_CFG bound to: 64'b0000000000000000000001000010000000000000000000000001000000000000
  2474. INFO: [Synth 8-6157] synthesizing module 'GTPE2_COMMON' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:20679]
  2475. Parameter BIAS_CFG bound to: 64'b0000000000000000000000000000000000000000000001010000000000000001
  2476. Parameter COMMON_CFG bound to: 0 - type: integer
  2477. Parameter IS_DRPCLK_INVERTED bound to: 1'b0
  2478. Parameter IS_GTGREFCLK0_INVERTED bound to: 1'b0
  2479. Parameter IS_GTGREFCLK1_INVERTED bound to: 1'b0
  2480. Parameter IS_PLL0LOCKDETCLK_INVERTED bound to: 1'b0
  2481. Parameter IS_PLL1LOCKDETCLK_INVERTED bound to: 1'b0
  2482. Parameter PLL0_CFG bound to: 27'b000000111110000001001001100
  2483. Parameter PLL0_DMON_CFG bound to: 1'b0
  2484. Parameter PLL0_FBDIV bound to: 5 - type: integer
  2485. Parameter PLL0_FBDIV_45 bound to: 5 - type: integer
  2486. Parameter PLL0_INIT_CFG bound to: 24'b000000000000000000011110
  2487. Parameter PLL0_LOCK_CFG bound to: 9'b111101000
  2488. Parameter PLL0_REFCLK_DIV bound to: 1 - type: integer
  2489. Parameter PLL1_CFG bound to: 27'b000000111110000001001001100
  2490. Parameter PLL1_DMON_CFG bound to: 1'b0
  2491. Parameter PLL1_FBDIV bound to: 5 - type: integer
  2492. Parameter PLL1_FBDIV_45 bound to: 5 - type: integer
  2493. Parameter PLL1_INIT_CFG bound to: 24'b000000000000000000011110
  2494. Parameter PLL1_LOCK_CFG bound to: 9'b111101000
  2495. Parameter PLL1_REFCLK_DIV bound to: 1 - type: integer
  2496. Parameter PLL_CLKOUT_CFG bound to: 8'b00000000
  2497. Parameter RSVD_ATTR0 bound to: 16'b0000000000000000
  2498. Parameter RSVD_ATTR1 bound to: 16'b0000000000000000
  2499. Parameter SIM_PLL0REFCLK_SEL bound to: 3'b001
  2500. Parameter SIM_PLL1REFCLK_SEL bound to: 3'b001
  2501. Parameter SIM_RESET_SPEEDUP bound to: FALSE - type: string
  2502. Parameter SIM_VERSION bound to: 1.0 - type: string
  2503. INFO: [Synth 8-6155] done synthesizing module 'GTPE2_COMMON' (28#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:20679]
  2504. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gtp_cpllpd_ovrd' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_cpllpd_ovrd.v:54]
  2505. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gtp_cpllpd_ovrd' (29#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtp_cpllpd_ovrd.v:54]
  2506. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_qpll_wrapper' (30#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_qpll_wrapper.v:67]
  2507. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gt_common' (31#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_common.v:56]
  2508. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pipe_user' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_user.v:67]
  2509. Parameter PCIE_SIM_MODE bound to: FALSE - type: string
  2510. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  2511. Parameter PCIE_OOBCLK_MODE bound to: 1 - type: integer
  2512. Parameter RXCDRLOCK_MAX bound to: 4'b1111
  2513. Parameter RXVALID_MAX bound to: 4'b1111
  2514. Parameter CONVERGE_MAX bound to: 22'b1011111010111100001000
  2515. Parameter FSM_IDLE bound to: 2'b00
  2516. Parameter FSM_RESETOVRD bound to: 2'b01
  2517. Parameter FSM_RESET_INIT bound to: 2'b10
  2518. Parameter FSM_RESET bound to: 2'b11
  2519. Parameter converge_max_cnt bound to: 22'b1011111010111100001000
  2520. INFO: [Synth 8-226] default block is never used [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_user.v:353]
  2521. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pipe_user' (32#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_user.v:67]
  2522. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_pipe_sync' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_sync.v:71]
  2523. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2524. Parameter PCIE_TXBUF_EN bound to: FALSE - type: string
  2525. Parameter PCIE_RXBUF_EN bound to: TRUE - type: string
  2526. Parameter PCIE_TXSYNC_MODE bound to: 0 - type: integer
  2527. Parameter PCIE_RXSYNC_MODE bound to: 0 - type: integer
  2528. Parameter PCIE_LANE bound to: 4 - type: integer
  2529. Parameter PCIE_LINK_SPEED bound to: 3 - type: integer
  2530. Parameter BYPASS_TXDELAY_ALIGN bound to: 0 - type: integer
  2531. Parameter BYPASS_RXDELAY_ALIGN bound to: 0 - type: integer
  2532. Parameter FSM_TXSYNC_IDLE bound to: 6'b000001
  2533. Parameter FSM_MMCM_LOCK bound to: 6'b000010
  2534. Parameter FSM_TXSYNC_START bound to: 6'b000100
  2535. Parameter FSM_TXPHINITDONE bound to: 6'b001000
  2536. Parameter FSM_TXSYNC_DONE1 bound to: 6'b010000
  2537. Parameter FSM_TXSYNC_DONE2 bound to: 6'b100000
  2538. Parameter FSM_RXSYNC_IDLE bound to: 7'b0000001
  2539. Parameter FSM_RXCDRLOCK bound to: 7'b0000010
  2540. Parameter FSM_RXSYNC_START bound to: 7'b0000100
  2541. Parameter FSM_RXSYNC_DONE1 bound to: 7'b0001000
  2542. Parameter FSM_RXSYNC_DONE2 bound to: 7'b0010000
  2543. Parameter FSM_RXSYNC_DONES bound to: 7'b0100000
  2544. Parameter FSM_RXSYNC_DONEM bound to: 7'b1000000
  2545. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pipe_sync' (33#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_sync.v:71]
  2546. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gt_wrapper' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_wrapper.v:67]
  2547. Parameter PCIE_SIM_MODE bound to: FALSE - type: string
  2548. Parameter PCIE_SIM_SPEEDUP bound to: FALSE - type: string
  2549. Parameter PCIE_SIM_TX_EIDLE_DRIVE_LEVEL bound to: 1 - type: string
  2550. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  2551. Parameter PCIE_USE_MODE bound to: 1.0 - type: string
  2552. Parameter PCIE_PLL_SEL bound to: CPLL - type: string
  2553. Parameter PCIE_LPM_DFE bound to: LPM - type: string
  2554. Parameter PCIE_LPM_DFE_GEN3 bound to: DFE - type: string
  2555. Parameter PCIE_ASYNC_EN bound to: FALSE - type: string
  2556. Parameter PCIE_TXBUF_EN bound to: FALSE - type: string
  2557. Parameter PCIE_TXSYNC_MODE bound to: 0 - type: integer
  2558. Parameter PCIE_RXSYNC_MODE bound to: 0 - type: integer
  2559. Parameter PCIE_CHAN_BOND bound to: 1 - type: integer
  2560. Parameter PCIE_CHAN_BOND_EN bound to: TRUE - type: string
  2561. Parameter PCIE_LANE bound to: 4 - type: integer
  2562. Parameter PCIE_REFCLK_FREQ bound to: 0 - type: integer
  2563. Parameter PCIE_TX_EIDLE_ASSERT_DELAY bound to: 3'b010
  2564. Parameter PCIE_OOBCLK_MODE bound to: 1 - type: integer
  2565. Parameter TX_MARGIN_FULL_0 bound to: 7'b1001111
  2566. Parameter TX_MARGIN_FULL_1 bound to: 7'b1001110
  2567. Parameter TX_MARGIN_FULL_2 bound to: 7'b1001101
  2568. Parameter TX_MARGIN_FULL_3 bound to: 7'b1001100
  2569. Parameter TX_MARGIN_FULL_4 bound to: 7'b1000011
  2570. Parameter TX_MARGIN_LOW_0 bound to: 7'b1000101
  2571. Parameter TX_MARGIN_LOW_1 bound to: 7'b1000110
  2572. Parameter TX_MARGIN_LOW_2 bound to: 7'b1000011
  2573. Parameter TX_MARGIN_LOW_3 bound to: 7'b1000010
  2574. Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000
  2575. Parameter PCIE_DEBUG_MODE bound to: 0 - type: integer
  2576. Parameter CPLL_REFCLK_DIV bound to: 1 - type: integer
  2577. Parameter CPLL_FBDIV_45 bound to: 5 - type: integer
  2578. Parameter CPLL_FBDIV bound to: 5 - type: integer
  2579. Parameter OUT_DIV bound to: 2 - type: integer
  2580. Parameter CLK25_DIV bound to: 4 - type: integer
  2581. Parameter CLKMUX_PD bound to: 1'b0
  2582. Parameter CPLL_CFG bound to: 24'b101101000000011111001100
  2583. Parameter TX_XCLK_SEL bound to: TXUSR - type: string
  2584. Parameter TX_RXDETECT_CFG bound to: 14'b00000001100100
  2585. Parameter TX_RXDETECT_REF bound to: 3'b000
  2586. Parameter OOBCLK_SEL bound to: 1'b1
  2587. Parameter RXOOB_CLK_CFG bound to: FABRIC - type: string
  2588. Parameter PCS_RSVD_ATTR bound to: 48'b000000000000000000000000000000000000000111001001
  2589. Parameter RXCDR_CFG_GTX bound to: 72'b000100010000011111111110010000000110000000000001000001000000000000000000
  2590. Parameter RXCDR_CFG_GTH bound to: 83'b00000000000001000000000011111111110001000000000000011000010000010000000000000011000
  2591. Parameter RXCDR_CFG_GTP bound to: 83'b00000000000000000010000011111111110010000000110000000000001000001000001000000010000
  2592. Parameter TXSYNC_OVRD bound to: 1'b1
  2593. Parameter RXSYNC_OVRD bound to: 1'b1
  2594. Parameter TXSYNC_MULTILANE bound to: 1'b1
  2595. Parameter RXSYNC_MULTILANE bound to: 1'b1
  2596. Parameter CLK_COR_MIN_LAT bound to: 19 - type: integer
  2597. Parameter CLK_COR_MAX_LAT bound to: 21 - type: integer
  2598. INFO: [Synth 8-6157] synthesizing module 'GTPE2_CHANNEL' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:19977]
  2599. Parameter ACJTAG_DEBUG_MODE bound to: 1'b0
  2600. Parameter ACJTAG_MODE bound to: 1'b0
  2601. Parameter ACJTAG_RESET bound to: 1'b0
  2602. Parameter ADAPT_CFG0 bound to: 20'b00000000000000000000
  2603. Parameter ALIGN_COMMA_DOUBLE bound to: FALSE - type: string
  2604. Parameter ALIGN_COMMA_ENABLE bound to: 10'b1111111111
  2605. Parameter ALIGN_COMMA_WORD bound to: 1 - type: integer
  2606. Parameter ALIGN_MCOMMA_DET bound to: TRUE - type: string
  2607. Parameter ALIGN_MCOMMA_VALUE bound to: 10'b1010000011
  2608. Parameter ALIGN_PCOMMA_DET bound to: TRUE - type: string
  2609. Parameter ALIGN_PCOMMA_VALUE bound to: 10'b0101111100
  2610. Parameter CBCC_DATA_SOURCE_SEL bound to: DECODED - type: string
  2611. Parameter CFOK_CFG bound to: 43'b1001001000000000000000001000000111010000000
  2612. Parameter CFOK_CFG2 bound to: 7'b0100000
  2613. Parameter CFOK_CFG3 bound to: 7'b0100000
  2614. Parameter CFOK_CFG4 bound to: 1'b0
  2615. Parameter CFOK_CFG5 bound to: 2'b00
  2616. Parameter CFOK_CFG6 bound to: 4'b0000
  2617. Parameter CHAN_BOND_KEEP_ALIGN bound to: TRUE - type: string
  2618. Parameter CHAN_BOND_MAX_SKEW bound to: 7 - type: integer
  2619. Parameter CHAN_BOND_SEQ_1_1 bound to: 10'b0001001010
  2620. Parameter CHAN_BOND_SEQ_1_2 bound to: 10'b0001001010
  2621. Parameter CHAN_BOND_SEQ_1_3 bound to: 10'b0001001010
  2622. Parameter CHAN_BOND_SEQ_1_4 bound to: 10'b0110111100
  2623. Parameter CHAN_BOND_SEQ_1_ENABLE bound to: 4'b1111
  2624. Parameter CHAN_BOND_SEQ_2_1 bound to: 10'b0001000101
  2625. Parameter CHAN_BOND_SEQ_2_2 bound to: 10'b0001000101
  2626. Parameter CHAN_BOND_SEQ_2_3 bound to: 10'b0001000101
  2627. Parameter CHAN_BOND_SEQ_2_4 bound to: 10'b0110111100
  2628. Parameter CHAN_BOND_SEQ_2_ENABLE bound to: 4'b1111
  2629. Parameter CHAN_BOND_SEQ_2_USE bound to: TRUE - type: string
  2630. Parameter CHAN_BOND_SEQ_LEN bound to: 4 - type: integer
  2631. Parameter CLK_COMMON_SWING bound to: 1'b0
  2632. Parameter CLK_CORRECT_USE bound to: TRUE - type: string
  2633. Parameter CLK_COR_KEEP_IDLE bound to: TRUE - type: string
  2634. Parameter CLK_COR_MAX_LAT bound to: 21 - type: integer
  2635. Parameter CLK_COR_MIN_LAT bound to: 19 - type: integer
  2636. Parameter CLK_COR_PRECEDENCE bound to: TRUE - type: string
  2637. Parameter CLK_COR_REPEAT_WAIT bound to: 0 - type: integer
  2638. Parameter CLK_COR_SEQ_1_1 bound to: 10'b0100011100
  2639. Parameter CLK_COR_SEQ_1_2 bound to: 10'b0000000000
  2640. Parameter CLK_COR_SEQ_1_3 bound to: 10'b0000000000
  2641. Parameter CLK_COR_SEQ_1_4 bound to: 10'b0000000000
  2642. Parameter CLK_COR_SEQ_1_ENABLE bound to: 4'b1111
  2643. Parameter CLK_COR_SEQ_2_1 bound to: 10'b0000000000
  2644. Parameter CLK_COR_SEQ_2_2 bound to: 10'b0000000000
  2645. Parameter CLK_COR_SEQ_2_3 bound to: 10'b0000000000
  2646. Parameter CLK_COR_SEQ_2_4 bound to: 10'b0000000000
  2647. Parameter CLK_COR_SEQ_2_ENABLE bound to: 4'b0000
  2648. Parameter CLK_COR_SEQ_2_USE bound to: FALSE - type: string
  2649. Parameter CLK_COR_SEQ_LEN bound to: 1 - type: integer
  2650. Parameter DEC_MCOMMA_DETECT bound to: TRUE - type: string
  2651. Parameter DEC_PCOMMA_DETECT bound to: TRUE - type: string
  2652. Parameter DEC_VALID_COMMA_ONLY bound to: FALSE - type: string
  2653. Parameter DMONITOR_CFG bound to: 24'b000000000000101100000001
  2654. Parameter ES_CLK_PHASE_SEL bound to: 1'b0
  2655. Parameter ES_CONTROL bound to: 6'b000000
  2656. Parameter ES_ERRDET_EN bound to: FALSE - type: string
  2657. Parameter ES_EYE_SCAN_EN bound to: FALSE - type: string
  2658. Parameter ES_HORZ_OFFSET bound to: 12'b000000010000
  2659. Parameter ES_PMA_CFG bound to: 10'b0000000000
  2660. Parameter ES_PRESCALE bound to: 5'b00000
  2661. Parameter ES_QUALIFIER bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000
  2662. Parameter ES_QUAL_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000
  2663. Parameter ES_SDATA_MASK bound to: 80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000
  2664. Parameter ES_VERT_OFFSET bound to: 9'b000000000
  2665. Parameter FTS_DESKEW_SEQ_ENABLE bound to: 4'b1111
  2666. Parameter FTS_LANE_DESKEW_CFG bound to: 4'b1111
  2667. Parameter FTS_LANE_DESKEW_EN bound to: TRUE - type: string
  2668. Parameter GEARBOX_MODE bound to: 3'b000
  2669. Parameter IS_CLKRSVD0_INVERTED bound to: 1'b0
  2670. Parameter IS_CLKRSVD1_INVERTED bound to: 1'b0
  2671. Parameter IS_DMONITORCLK_INVERTED bound to: 1'b0
  2672. Parameter IS_DRPCLK_INVERTED bound to: 1'b0
  2673. Parameter IS_RXUSRCLK2_INVERTED bound to: 1'b0
  2674. Parameter IS_RXUSRCLK_INVERTED bound to: 1'b0
  2675. Parameter IS_SIGVALIDCLK_INVERTED bound to: 1'b0
  2676. Parameter IS_TXPHDLYTSTCLK_INVERTED bound to: 1'b0
  2677. Parameter IS_TXUSRCLK2_INVERTED bound to: 1'b0
  2678. Parameter IS_TXUSRCLK_INVERTED bound to: 1'b0
  2679. Parameter LOOPBACK_CFG bound to: 1'b0
  2680. Parameter OUTREFCLK_SEL_INV bound to: 2'b11
  2681. Parameter PCS_PCIE_EN bound to: TRUE - type: string
  2682. Parameter PCS_RSVD_ATTR bound to: 48'b000000000000000000000000000000000000000100000000
  2683. Parameter PD_TRANS_TIME_FROM_P2 bound to: 12'b000000111100
  2684. Parameter PD_TRANS_TIME_NONE_P2 bound to: 8'b00001001
  2685. Parameter PD_TRANS_TIME_TO_P2 bound to: 8'b01100100
  2686. Parameter PMA_LOOPBACK_CFG bound to: 1'b0
  2687. Parameter PMA_RSV bound to: 819 - type: integer
  2688. Parameter PMA_RSV2 bound to: 8256 - type: integer
  2689. Parameter PMA_RSV3 bound to: 2'b00
  2690. Parameter PMA_RSV4 bound to: 4'b0000
  2691. Parameter PMA_RSV5 bound to: 1'b0
  2692. Parameter PMA_RSV6 bound to: 1'b0
  2693. Parameter PMA_RSV7 bound to: 1'b0
  2694. Parameter RXBUFRESET_TIME bound to: 5'b00001
  2695. Parameter RXBUF_ADDR_MODE bound to: FULL - type: string
  2696. Parameter RXBUF_EIDLE_HI_CNT bound to: 4'b0100
  2697. Parameter RXBUF_EIDLE_LO_CNT bound to: 4'b0000
  2698. Parameter RXBUF_EN bound to: TRUE - type: string
  2699. Parameter RXBUF_RESET_ON_CB_CHANGE bound to: TRUE - type: string
  2700. Parameter RXBUF_RESET_ON_COMMAALIGN bound to: FALSE - type: string
  2701. Parameter RXBUF_RESET_ON_EIDLE bound to: TRUE - type: string
  2702. Parameter RXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string
  2703. Parameter RXBUF_THRESH_OVFLW bound to: 61 - type: integer
  2704. Parameter RXBUF_THRESH_OVRD bound to: FALSE - type: string
  2705. Parameter RXBUF_THRESH_UNDFLW bound to: 4 - type: integer
  2706. Parameter RXCDRFREQRESET_TIME bound to: 5'b00001
  2707. Parameter RXCDRPHRESET_TIME bound to: 5'b00001
  2708. Parameter RXCDR_CFG bound to: 83'b00000000000000000010000011111111110010000000110000000000001000001000001000000010000
  2709. Parameter RXCDR_FR_RESET_ON_EIDLE bound to: 1'b0
  2710. Parameter RXCDR_HOLD_DURING_EIDLE bound to: 1'b1
  2711. Parameter RXCDR_LOCK_CFG bound to: 6'b010101
  2712. Parameter RXCDR_PH_RESET_ON_EIDLE bound to: 1'b0
  2713. Parameter RXDLY_CFG bound to: 16'b0000000000011111
  2714. Parameter RXDLY_LCFG bound to: 9'b000110000
  2715. Parameter RXDLY_TAP_CFG bound to: 16'b0000000000000000
  2716. Parameter RXGEARBOX_EN bound to: FALSE - type: string
  2717. Parameter RXISCANRESET_TIME bound to: 5'b00001
  2718. Parameter RXLPMRESET_TIME bound to: 7'b0001111
  2719. Parameter RXLPM_BIAS_STARTUP_DISABLE bound to: 1'b0
  2720. Parameter RXLPM_CFG bound to: 4'b0110
  2721. Parameter RXLPM_CFG1 bound to: 1'b0
  2722. Parameter RXLPM_CM_CFG bound to: 1'b0
  2723. Parameter RXLPM_GC_CFG bound to: 9'b111100010
  2724. Parameter RXLPM_GC_CFG2 bound to: 3'b001
  2725. Parameter RXLPM_HF_CFG bound to: 14'b00001111110000
  2726. Parameter RXLPM_HF_CFG2 bound to: 5'b01010
  2727. Parameter RXLPM_HF_CFG3 bound to: 4'b0000
  2728. Parameter RXLPM_HOLD_DURING_EIDLE bound to: 1'b1
  2729. Parameter RXLPM_INCM_CFG bound to: 1'b1
  2730. Parameter RXLPM_IPCM_CFG bound to: 1'b0
  2731. Parameter RXLPM_LF_CFG bound to: 18'b000000001111110000
  2732. Parameter RXLPM_LF_CFG2 bound to: 5'b01010
  2733. Parameter RXLPM_OSINT_CFG bound to: 3'b100
  2734. Parameter RXOOB_CFG bound to: 7'b0000110
  2735. Parameter RXOOB_CLK_CFG bound to: FABRIC - type: string
  2736. Parameter RXOSCALRESET_TIME bound to: 5'b00011
  2737. Parameter RXOSCALRESET_TIMEOUT bound to: 5'b00000
  2738. Parameter RXOUT_DIV bound to: 2 - type: integer
  2739. Parameter RXPCSRESET_TIME bound to: 5'b00001
  2740. Parameter RXPHDLY_CFG bound to: 24'b000000000100000000100000
  2741. Parameter RXPH_CFG bound to: 24'b000000000000000000000000
  2742. Parameter RXPH_MONITOR_SEL bound to: 5'b00000
  2743. Parameter RXPI_CFG0 bound to: 3'b000
  2744. Parameter RXPI_CFG1 bound to: 1'b1
  2745. Parameter RXPI_CFG2 bound to: 1'b1
  2746. Parameter RXPMARESET_TIME bound to: 5'b00011
  2747. Parameter RXPRBS_ERR_LOOPBACK bound to: 1'b0
  2748. Parameter RXSLIDE_AUTO_WAIT bound to: 7 - type: integer
  2749. Parameter RXSLIDE_MODE bound to: PMA - type: string
  2750. Parameter RXSYNC_MULTILANE bound to: 1'b1
  2751. Parameter RXSYNC_OVRD bound to: 1'b1
  2752. Parameter RXSYNC_SKIP_DA bound to: 1'b0
  2753. Parameter RX_BIAS_CFG bound to: 16'b0000111100110011
  2754. Parameter RX_BUFFER_CFG bound to: 6'b000000
  2755. Parameter RX_CLK25_DIV bound to: 4 - type: integer
  2756. Parameter RX_CLKMUX_EN bound to: 1'b1
  2757. Parameter RX_CM_SEL bound to: 2'b11
  2758. Parameter RX_CM_TRIM bound to: 4'b1010
  2759. Parameter RX_DATA_WIDTH bound to: 20 - type: integer
  2760. Parameter RX_DDI_SEL bound to: 6'b000000
  2761. Parameter RX_DEBUG_CFG bound to: 14'b00000000000000
  2762. Parameter RX_DEFER_RESET_BUF_EN bound to: TRUE - type: string
  2763. Parameter RX_DISPERR_SEQ_MATCH bound to: TRUE - type: string
  2764. Parameter RX_OS_CFG bound to: 13'b0000010000000
  2765. Parameter RX_SIG_VALID_DLY bound to: 10 - type: integer
  2766. Parameter RX_XCLK_SEL bound to: RXREC - type: string
  2767. Parameter SAS_MAX_COM bound to: 64 - type: integer
  2768. Parameter SAS_MIN_COM bound to: 36 - type: integer
  2769. Parameter SATA_BURST_SEQ_LEN bound to: 4'b1111
  2770. Parameter SATA_BURST_VAL bound to: 3'b100
  2771. Parameter SATA_EIDLE_VAL bound to: 3'b100
  2772. Parameter SATA_MAX_BURST bound to: 8 - type: integer
  2773. Parameter SATA_MAX_INIT bound to: 21 - type: integer
  2774. Parameter SATA_MAX_WAKE bound to: 7 - type: integer
  2775. Parameter SATA_MIN_BURST bound to: 4 - type: integer
  2776. Parameter SATA_MIN_INIT bound to: 12 - type: integer
  2777. Parameter SATA_MIN_WAKE bound to: 4 - type: integer
  2778. Parameter SATA_PLL_CFG bound to: VCO_3000MHZ - type: string
  2779. Parameter SHOW_REALIGN_COMMA bound to: FALSE - type: string
  2780. Parameter SIM_RECEIVER_DETECT_PASS bound to: TRUE - type: string
  2781. Parameter SIM_RESET_SPEEDUP bound to: FALSE - type: string
  2782. Parameter SIM_TX_EIDLE_DRIVE_LEVEL bound to: 1 - type: string
  2783. Parameter SIM_VERSION bound to: 1.0 - type: string
  2784. Parameter TERM_RCAL_CFG bound to: 15'b100001000010000
  2785. Parameter TERM_RCAL_OVRD bound to: 3'b000
  2786. Parameter TRANS_TIME_RATE bound to: 8'b00001110
  2787. Parameter TST_RSV bound to: 0 - type: integer
  2788. Parameter TXBUF_EN bound to: FALSE - type: string
  2789. Parameter TXBUF_RESET_ON_RATE_CHANGE bound to: TRUE - type: string
  2790. Parameter TXDLY_CFG bound to: 16'b0000000000011111
  2791. Parameter TXDLY_LCFG bound to: 9'b000110000
  2792. Parameter TXDLY_TAP_CFG bound to: 16'b0000000000000000
  2793. Parameter TXGEARBOX_EN bound to: FALSE - type: string
  2794. Parameter TXOOB_CFG bound to: 1'b1
  2795. Parameter TXOUT_DIV bound to: 2 - type: integer
  2796. Parameter TXPCSRESET_TIME bound to: 5'b00001
  2797. Parameter TXPHDLY_CFG bound to: 24'b000010000100000000100000
  2798. Parameter TXPH_CFG bound to: 16'b0000011110000000
  2799. Parameter TXPH_MONITOR_SEL bound to: 5'b00000
  2800. Parameter TXPI_CFG0 bound to: 2'b00
  2801. Parameter TXPI_CFG1 bound to: 2'b00
  2802. Parameter TXPI_CFG2 bound to: 2'b00
  2803. Parameter TXPI_CFG3 bound to: 1'b0
  2804. Parameter TXPI_CFG4 bound to: 1'b0
  2805. Parameter TXPI_CFG5 bound to: 3'b000
  2806. Parameter TXPI_GREY_SEL bound to: 1'b0
  2807. Parameter TXPI_INVSTROBE_SEL bound to: 1'b0
  2808. Parameter TXPI_PPMCLK_SEL bound to: TXUSRCLK2 - type: string
  2809. Parameter TXPI_PPM_CFG bound to: 8'b00000000
  2810. Parameter TXPI_SYNFREQ_PPM bound to: 3'b000
  2811. Parameter TXPMARESET_TIME bound to: 5'b00011
  2812. Parameter TXSYNC_MULTILANE bound to: 1'b1
  2813. Parameter TXSYNC_OVRD bound to: 1'b1
  2814. Parameter TXSYNC_SKIP_DA bound to: 1'b0
  2815. Parameter TX_CLK25_DIV bound to: 4 - type: integer
  2816. Parameter TX_CLKMUX_EN bound to: 1'b1
  2817. Parameter TX_DATA_WIDTH bound to: 20 - type: integer
  2818. Parameter TX_DEEMPH0 bound to: 6'b010100
  2819. Parameter TX_DEEMPH1 bound to: 6'b001011
  2820. Parameter TX_DRIVE_MODE bound to: PIPE - type: string
  2821. Parameter TX_EIDLE_ASSERT_DELAY bound to: 3'b010
  2822. Parameter TX_EIDLE_DEASSERT_DELAY bound to: 3'b010
  2823. Parameter TX_LOOPBACK_DRIVE_HIZ bound to: FALSE - type: string
  2824. Parameter TX_MAINCURSOR_SEL bound to: 1'b0
  2825. Parameter TX_MARGIN_FULL_0 bound to: 7'b1001111
  2826. Parameter TX_MARGIN_FULL_1 bound to: 7'b1001110
  2827. Parameter TX_MARGIN_FULL_2 bound to: 7'b1001101
  2828. Parameter TX_MARGIN_FULL_3 bound to: 7'b1001100
  2829. Parameter TX_MARGIN_FULL_4 bound to: 7'b1000011
  2830. Parameter TX_MARGIN_LOW_0 bound to: 7'b1000101
  2831. Parameter TX_MARGIN_LOW_1 bound to: 7'b1000110
  2832. Parameter TX_MARGIN_LOW_2 bound to: 7'b1000011
  2833. Parameter TX_MARGIN_LOW_3 bound to: 7'b1000010
  2834. Parameter TX_MARGIN_LOW_4 bound to: 7'b1000000
  2835. Parameter TX_PREDRIVER_MODE bound to: 1'b0
  2836. Parameter TX_RXDETECT_CFG bound to: 14'b00000001100100
  2837. Parameter TX_RXDETECT_REF bound to: 3'b011
  2838. Parameter TX_XCLK_SEL bound to: TXUSR - type: string
  2839. Parameter UCODEER_CLR bound to: 1'b0
  2840. Parameter USE_PCS_CLK_PHASE_SEL bound to: 1'b0
  2841. INFO: [Synth 8-6155] done synthesizing module 'GTPE2_CHANNEL' (34#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:19977]
  2842. INFO: [Synth 8-6157] synthesizing module 'pcie_s7_gtx_cpllpd_ovrd' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtx_cpllpd_ovrd.v:54]
  2843. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gtx_cpllpd_ovrd' (35#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gtx_cpllpd_ovrd.v:54]
  2844. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gt_wrapper' (36#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_wrapper.v:67]
  2845. INFO: [Synth 8-6157] synthesizing module 'BUFG' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083]
  2846. INFO: [Synth 8-6155] done synthesizing module 'BUFG' (37#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083]
  2847. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pipe_wrapper' (38#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pipe_wrapper.v:156]
  2848. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_gt_top' (39#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_gt_top.v:62]
  2849. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_core_top' (40#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_core_top.v:65]
  2850. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7_pcie2_top' (41#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie_s7_pcie2_top.v:59]
  2851. WARNING: [Synth 8-7071] port 'pipe_debug_0' of module 'pcie_s7_pcie2_top' is unconnected for instance 'inst' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7.v:815]
  2852. WARNING: [Synth 8-7023] instance 'inst' of module 'pcie_s7_pcie2_top' has 290 connections declared, but only 289 given [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7.v:815]
  2853. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7' (42#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7.v:66]
  2854. ---------------------------------------------------------------------------------
  2855. Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2338.488 ; gain = 0.000 ; free physical = 31123 ; free virtual = 60718
  2856. ---------------------------------------------------------------------------------
  2857. ---------------------------------------------------------------------------------
  2858. Start Handling Custom Attributes
  2859. ---------------------------------------------------------------------------------
  2860. ---------------------------------------------------------------------------------
  2861. Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 2338.488 ; gain = 0.000 ; free physical = 31127 ; free virtual = 60722
  2862. ---------------------------------------------------------------------------------
  2863. ---------------------------------------------------------------------------------
  2864. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 2338.488 ; gain = 0.000 ; free physical = 31127 ; free virtual = 60722
  2865. ---------------------------------------------------------------------------------
  2866. Netlist sorting complete. Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.08 . Memory (MB): peak = 2338.488 ; gain = 0.000 ; free physical = 31115 ; free virtual = 60710
  2867. INFO: [Netlist 29-17] Analyzing 8 Unisim elements for replacement
  2868. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  2869. INFO: [Project 1-570] Preparing netlist for logic optimization
  2870.  
  2871. Processing XDC Constraints
  2872. Initializing timing engine
  2873. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7_ooc.xdc] for cell 'inst'
  2874. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7_ooc.xdc] for cell 'inst'
  2875. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc] for cell 'inst'
  2876. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc] for cell 'inst'
  2877. INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/pcie_s7_propImpl.xdc].
  2878. Resolution: To avoid this warning, move constraints listed in [.Xil/pcie_s7_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
  2879. INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/tools/Xilinx/Vivado/2020.2/data/ip/xpm/xpm_cdc/tcl/xpm_cdc_single.tcl]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/pcie_s7_propImpl.xdc].
  2880. Resolution: To avoid this warning, move constraints listed in [.Xil/pcie_s7_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
  2881. INFO: [Project 1-1715] 1 XPM XDC files have been applied to the design.
  2882. Completed Processing XDC Constraints
  2883.  
  2884. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2405.406 ; gain = 0.000 ; free physical = 31013 ; free virtual = 60609
  2885. INFO: [Project 1-111] Unisim Transformation Summary:
  2886. No Unisim elements were transformed.
  2887.  
  2888. Constraint Validation Runtime : Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2408.375 ; gain = 2.969 ; free physical = 31013 ; free virtual = 60608
  2889. ---------------------------------------------------------------------------------
  2890. Finished Constraint Validation : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2408.375 ; gain = 69.887 ; free physical = 31104 ; free virtual = 60700
  2891. ---------------------------------------------------------------------------------
  2892. ---------------------------------------------------------------------------------
  2893. Start Loading Part and Timing Information
  2894. ---------------------------------------------------------------------------------
  2895. Loading part: xc7a200tfbg484-2
  2896. ---------------------------------------------------------------------------------
  2897. Finished Loading Part and Timing Information : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2408.375 ; gain = 69.887 ; free physical = 31104 ; free virtual = 60700
  2898. ---------------------------------------------------------------------------------
  2899. ---------------------------------------------------------------------------------
  2900. Start Applying 'set_property' XDC Constraints
  2901. ---------------------------------------------------------------------------------
  2902. Applied set_property KEEP_HIERARCHY = SOFT for inst. (constraint file auto generated constraint).
  2903. Applied set_property KEEP_HIERARCHY = SOFT for inst/inst/phy_lnk_up_cdc. (constraint file auto generated constraint).
  2904. Applied set_property KEEP_HIERARCHY = SOFT for inst/inst/pl_received_hot_rst_cdc. (constraint file auto generated constraint).
  2905. ---------------------------------------------------------------------------------
  2906. Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2408.375 ; gain = 69.887 ; free physical = 31104 ; free virtual = 60700
  2907. ---------------------------------------------------------------------------------
  2908. INFO: [Synth 8-802] inferred FSM for state register 'reg_state_eios_det_reg' in module 'pcie_s7_gt_rx_valid_filter_7x'
  2909. INFO: [Synth 8-802] inferred FSM for state register 'fsm_reg' in module 'pcie_s7_gtp_pipe_reset'
  2910. INFO: [Synth 8-802] inferred FSM for state register 'fsm_reg' in module 'pcie_s7_qpll_reset'
  2911. INFO: [Synth 8-802] inferred FSM for state register 'fsm_reg' in module 'pcie_s7_gtp_pipe_rate'
  2912. INFO: [Synth 8-802] inferred FSM for state register 'fsm_reg' in module 'pcie_s7_rxeq_scan'
  2913. INFO: [Synth 8-802] inferred FSM for state register 'fsm_tx_reg' in module 'pcie_s7_pipe_eq'
  2914. INFO: [Synth 8-802] inferred FSM for state register 'fsm_rx_reg' in module 'pcie_s7_pipe_eq'
  2915. INFO: [Synth 8-802] inferred FSM for state register 'fsm_reg' in module 'pcie_s7_qpll_drp'
  2916. INFO: [Synth 8-802] inferred FSM for state register 'resetovrd.fsm_reg' in module 'pcie_s7_pipe_user'
  2917. INFO: [Synth 8-802] inferred FSM for state register 'txsync_fsm.fsm_tx_reg' in module 'pcie_s7_pipe_sync'
  2918. ---------------------------------------------------------------------------------------------------
  2919. State | New Encoding | Previous Encoding
  2920. ---------------------------------------------------------------------------------------------------
  2921. *
  2922. EIOS_DET_IDL | 00001 | 00001
  2923. EIOS_DET_NO_STR0 | 00010 | 00010
  2924. EIOS_DET_STR0 | 00100 | 00100
  2925. EIOS_DET_STR1 | 01000 | 01000
  2926. EIOS_DET_DONE | 10000 | 10000
  2927. ---------------------------------------------------------------------------------------------------
  2928. INFO: [Synth 8-3898] No Re-encoding of one hot register 'reg_state_eios_det_reg' in module 'pcie_s7_gt_rx_valid_filter_7x'
  2929. ---------------------------------------------------------------------------------------------------
  2930. State | New Encoding | Previous Encoding
  2931. ---------------------------------------------------------------------------------------------------
  2932. FSM_CFG_WAIT | 000000000000001 | 00001
  2933. FSM_PLLRESET | 001000000000000 | 00010
  2934. FSM_DRP_X16_START | 000001000000000 | 00011
  2935. FSM_DRP_X16_DONE | 000010000000000 | 00100
  2936. FSM_PLLLOCK | 000000100000000 | 00101
  2937. FSM_GTRESET | 000000001000000 | 00110
  2938. FSM_RXPMARESETDONE_1 | 000000000000100 | 00111
  2939. FSM_RXPMARESETDONE_2 | 000000000001000 | 01000
  2940. FSM_DRP_X20_START | 000000000010000 | 01001
  2941. FSM_DRP_X20_DONE | 000000000100000 | 01010
  2942. FSM_MMCM_LOCK | 100000000000000 | 01011
  2943. FSM_RESETDONE | 010000000000000 | 01100
  2944. FSM_TXSYNC_START | 000100000000000 | 01101
  2945. FSM_TXSYNC_DONE | 000000010000000 | 01110
  2946. FSM_IDLE | 000000000000010 | 00000
  2947. ---------------------------------------------------------------------------------------------------
  2948. INFO: [Synth 8-3354] encoded FSM with state register 'fsm_reg' using encoding 'one-hot' in module 'pcie_s7_gtp_pipe_reset'
  2949. ---------------------------------------------------------------------------------------------------
  2950. State | New Encoding | Previous Encoding
  2951. ---------------------------------------------------------------------------------------------------
  2952. FSM_WAIT_LOCK | 00000001 | 0010
  2953. FSM_MMCM_LOCK | 00000010 | 0011
  2954. FSM_DRP_START_NOM | 00000100 | 0100
  2955. FSM_DRP_DONE_NOM | 00001000 | 0101
  2956. FSM_QPLLLOCK | 00010000 | 0110
  2957. FSM_QPLL_PDRESET | 00100000 | 1011
  2958. FSM_QPLL_PD | 01000000 | 1100
  2959. FSM_IDLE | 10000000 | 0001
  2960. ---------------------------------------------------------------------------------------------------
  2961. INFO: [Synth 8-3354] encoded FSM with state register 'fsm_reg' using encoding 'one-hot' in module 'pcie_s7_qpll_reset'
  2962. ---------------------------------------------------------------------------------------------------
  2963. State | New Encoding | Previous Encoding
  2964. ---------------------------------------------------------------------------------------------------
  2965. FSM_IDLE | 0000000010000 | 0000
  2966. FSM_TXDATA_WAIT | 0000100000000 | 0001
  2967. FSM_PCLK_SEL | 0000000001000 | 0010
  2968. FSM_DRP_X16_START | 0000000000001 | 0011
  2969. FSM_DRP_X16_DONE | 0000000000010 | 0100
  2970. FSM_RATE_SEL | 0000000000100 | 0101
  2971. FSM_RXPMARESETDONE | 1000000000000 | 0110
  2972. FSM_DRP_X20_START | 0001000000000 | 0111
  2973. FSM_DRP_X20_DONE | 0010000000000 | 1000
  2974. FSM_RATE_DONE | 0100000000000 | 1001
  2975. FSM_TXSYNC_START | 0000010000000 | 1010
  2976. FSM_TXSYNC_DONE | 0000001000000 | 1011
  2977. FSM_DONE | 0000000100000 | 1100
  2978. ---------------------------------------------------------------------------------------------------
  2979. INFO: [Synth 8-3354] encoded FSM with state register 'fsm_reg' using encoding 'one-hot' in module 'pcie_s7_gtp_pipe_rate'
  2980. ---------------------------------------------------------------------------------------------------
  2981. State | New Encoding | Previous Encoding
  2982. ---------------------------------------------------------------------------------------------------
  2983. iSTATE | 00001 | 0000
  2984. *
  2985. FSM_IDLE | 00010 | 0001
  2986. FSM_PRESET | 00100 | 0010
  2987. FSM_CONVERGE | 01000 | 0100
  2988. FSM_NEW_TXCOEFF_REQ | 10000 | 1000
  2989. ---------------------------------------------------------------------------------------------------
  2990. INFO: [Synth 8-3354] encoded FSM with state register 'fsm_reg' using encoding 'one-hot' in module 'pcie_s7_rxeq_scan'
  2991. ---------------------------------------------------------------------------------------------------
  2992. State | New Encoding | Previous Encoding
  2993. ---------------------------------------------------------------------------------------------------
  2994. iSTATE | 000 | 000000
  2995. *
  2996. FSM_TXEQ_IDLE | 001 | 000001
  2997. FSM_TXEQ_PRESET | 010 | 000010
  2998. FSM_TXEQ_TXCOEFF | 011 | 000100
  2999. FSM_TXEQ_REMAP | 100 | 001000
  3000. FSM_TXEQ_QUERY | 101 | 010000
  3001. FSM_TXEQ_DONE | 110 | 100000
  3002. ---------------------------------------------------------------------------------------------------
  3003. INFO: [Synth 8-3354] encoded FSM with state register 'fsm_tx_reg' using encoding 'sequential' in module 'pcie_s7_pipe_eq'
  3004. ---------------------------------------------------------------------------------------------------
  3005. State | New Encoding | Previous Encoding
  3006. ---------------------------------------------------------------------------------------------------
  3007. iSTATE | 0000001 | 000000
  3008. *
  3009. FSM_RXEQ_IDLE | 0000010 | 000001
  3010. FSM_RXEQ_PRESET | 0000100 | 000010
  3011. FSM_RXEQ_TXCOEFF | 0001000 | 000100
  3012. FSM_RXEQ_LF | 0010000 | 001000
  3013. FSM_RXEQ_NEW_TXCOEFF_REQ | 0100000 | 010000
  3014. FSM_RXEQ_DONE | 1000000 | 100000
  3015. ---------------------------------------------------------------------------------------------------
  3016. INFO: [Synth 8-3354] encoded FSM with state register 'fsm_rx_reg' using encoding 'one-hot' in module 'pcie_s7_pipe_eq'
  3017. ---------------------------------------------------------------------------------------------------
  3018. State | New Encoding | Previous Encoding
  3019. ---------------------------------------------------------------------------------------------------
  3020. *
  3021. FSM_IDLE | 000000001 | 000000001
  3022. FSM_LOAD | 000000010 | 000000010
  3023. FSM_READ | 000000100 | 000000100
  3024. FSM_RRDY | 000001000 | 000001000
  3025. FSM_WRITE | 000010000 | 000010000
  3026. FSM_WRDY | 000100000 | 000100000
  3027. FSM_DONE | 001000000 | 001000000
  3028. FSM_QPLLRESET | 010000000 | 010000000
  3029. FSM_QPLLLOCK | 100000000 | 100000000
  3030. ---------------------------------------------------------------------------------------------------
  3031. INFO: [Synth 8-3898] No Re-encoding of one hot register 'fsm_reg' in module 'pcie_s7_qpll_drp'
  3032. ---------------------------------------------------------------------------------------------------
  3033. State | New Encoding | Previous Encoding
  3034. ---------------------------------------------------------------------------------------------------
  3035. FSM_IDLE | 0010 | 00
  3036. FSM_RESETOVRD | 1000 | 01
  3037. FSM_RESET_INIT | 0100 | 10
  3038. FSM_RESET | 0001 | 11
  3039. ---------------------------------------------------------------------------------------------------
  3040. INFO: [Synth 8-3354] encoded FSM with state register 'resetovrd.fsm_reg' using encoding 'one-hot' in module 'pcie_s7_pipe_user'
  3041. ---------------------------------------------------------------------------------------------------
  3042. State | New Encoding | Previous Encoding
  3043. ---------------------------------------------------------------------------------------------------
  3044. iSTATE | 0000001 | 000000
  3045. *
  3046. FSM_TXSYNC_IDLE | 0000010 | 000001
  3047. FSM_MMCM_LOCK | 0000100 | 000010
  3048. FSM_TXSYNC_START | 0001000 | 000100
  3049. FSM_TXPHINITDONE | 0010000 | 001000
  3050. FSM_TXSYNC_DONE1 | 0100000 | 010000
  3051. FSM_TXSYNC_DONE2 | 1000000 | 100000
  3052. ---------------------------------------------------------------------------------------------------
  3053. INFO: [Synth 8-3354] encoded FSM with state register 'txsync_fsm.fsm_tx_reg' using encoding 'one-hot' in module 'pcie_s7_pipe_sync'
  3054. ---------------------------------------------------------------------------------
  3055. Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:14 . Memory (MB): peak = 2408.375 ; gain = 69.887 ; free physical = 31096 ; free virtual = 60693
  3056. ---------------------------------------------------------------------------------
  3057. ---------------------------------------------------------------------------------
  3058. Start RTL Component Statistics
  3059. ---------------------------------------------------------------------------------
  3060. Detailed RTL Component Info :
  3061. +---Adders :
  3062. 2 Input 22 Bit Adders := 4
  3063. 2 Input 12 Bit Adders := 2
  3064. 2 Input 8 Bit Adders := 4
  3065. 2 Input 6 Bit Adders := 2
  3066. 2 Input 5 Bit Adders := 4
  3067. 2 Input 4 Bit Adders := 12
  3068. 2 Input 3 Bit Adders := 6
  3069. 2 Input 2 Bit Adders := 15
  3070. 2 Input 1 Bit Adders := 4
  3071. +---Registers :
  3072. 128 Bit Registers := 8
  3073. 96 Bit Registers := 5
  3074. 22 Bit Registers := 5
  3075. 19 Bit Registers := 4
  3076. 18 Bit Registers := 32
  3077. 16 Bit Registers := 28
  3078. 12 Bit Registers := 1
  3079. 9 Bit Registers := 4
  3080. 8 Bit Registers := 10
  3081. 7 Bit Registers := 5
  3082. 6 Bit Registers := 47
  3083. 5 Bit Registers := 5
  3084. 4 Bit Registers := 65
  3085. 3 Bit Registers := 45
  3086. 2 Bit Registers := 59
  3087. 1 Bit Registers := 607
  3088. +---Muxes :
  3089. 2 Input 128 Bit Muxes := 1
  3090. 2 Input 22 Bit Muxes := 7
  3091. 5 Input 22 Bit Muxes := 4
  3092. 2 Input 19 Bit Muxes := 4
  3093. 7 Input 19 Bit Muxes := 4
  3094. 2 Input 18 Bit Muxes := 12
  3095. 24 Input 18 Bit Muxes := 4
  3096. 7 Input 18 Bit Muxes := 8
  3097. 2 Input 16 Bit Muxes := 5
  3098. 15 Input 15 Bit Muxes := 1
  3099. 2 Input 15 Bit Muxes := 13
  3100. 13 Input 13 Bit Muxes := 4
  3101. 2 Input 13 Bit Muxes := 40
  3102. 2 Input 12 Bit Muxes := 7
  3103. 2 Input 10 Bit Muxes := 2
  3104. 2 Input 9 Bit Muxes := 10
  3105. 10 Input 9 Bit Muxes := 1
  3106. 3 Input 9 Bit Muxes := 1
  3107. 8 Input 8 Bit Muxes := 2
  3108. 2 Input 8 Bit Muxes := 15
  3109. 2 Input 7 Bit Muxes := 49
  3110. 7 Input 7 Bit Muxes := 8
  3111. 4 Input 7 Bit Muxes := 4
  3112. 2 Input 6 Bit Muxes := 5
  3113. 7 Input 6 Bit Muxes := 12
  3114. 8 Input 6 Bit Muxes := 1
  3115. 2 Input 5 Bit Muxes := 62
  3116. 5 Input 5 Bit Muxes := 5
  3117. 6 Input 5 Bit Muxes := 4
  3118. 15 Input 5 Bit Muxes := 1
  3119. 8 Input 5 Bit Muxes := 4
  3120. 8 Input 4 Bit Muxes := 2
  3121. 2 Input 4 Bit Muxes := 49
  3122. 7 Input 4 Bit Muxes := 4
  3123. 4 Input 4 Bit Muxes := 4
  3124. 2 Input 3 Bit Muxes := 53
  3125. 13 Input 3 Bit Muxes := 4
  3126. 7 Input 3 Bit Muxes := 12
  3127. 4 Input 3 Bit Muxes := 4
  3128. 10 Input 3 Bit Muxes := 1
  3129. 2 Input 2 Bit Muxes := 19
  3130. 7 Input 2 Bit Muxes := 4
  3131. 2 Input 1 Bit Muxes := 123
  3132. 6 Input 1 Bit Muxes := 12
  3133. 15 Input 1 Bit Muxes := 3
  3134. 8 Input 1 Bit Muxes := 9
  3135. 13 Input 1 Bit Muxes := 4
  3136. 9 Input 1 Bit Muxes := 4
  3137. 5 Input 1 Bit Muxes := 32
  3138. 4 Input 1 Bit Muxes := 8
  3139. 7 Input 1 Bit Muxes := 68
  3140. 10 Input 1 Bit Muxes := 3
  3141. ---------------------------------------------------------------------------------
  3142. Finished RTL Component Statistics
  3143. ---------------------------------------------------------------------------------
  3144. ---------------------------------------------------------------------------------
  3145. Start Part Resource Summary
  3146. ---------------------------------------------------------------------------------
  3147. Part Resources:
  3148. DSPs: 740 (col length:100)
  3149. BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
  3150. ---------------------------------------------------------------------------------
  3151. Finished Part Resource Summary
  3152. ---------------------------------------------------------------------------------
  3153. ---------------------------------------------------------------------------------
  3154. Start Cross Boundary and Area Optimization
  3155. ---------------------------------------------------------------------------------
  3156. ---------------------------------------------------------------------------------
  3157. Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:19 ; elapsed = 00:00:21 . Memory (MB): peak = 2408.375 ; gain = 69.887 ; free physical = 31064 ; free virtual = 60669
  3158. ---------------------------------------------------------------------------------
  3159. ---------------------------------------------------------------------------------
  3160. Start Applying XDC Timing Constraints
  3161. ---------------------------------------------------------------------------------
  3162. ---------------------------------------------------------------------------------
  3163. Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:23 ; elapsed = 00:00:25 . Memory (MB): peak = 2409.359 ; gain = 70.871 ; free physical = 30851 ; free virtual = 60456
  3164. ---------------------------------------------------------------------------------
  3165. ---------------------------------------------------------------------------------
  3166. Start Timing Optimization
  3167. ---------------------------------------------------------------------------------
  3168. ---------------------------------------------------------------------------------
  3169. Finished Timing Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2446.406 ; gain = 107.918 ; free physical = 30830 ; free virtual = 60435
  3170. ---------------------------------------------------------------------------------
  3171. ---------------------------------------------------------------------------------
  3172. Start Technology Mapping
  3173. ---------------------------------------------------------------------------------
  3174. ---------------------------------------------------------------------------------
  3175. Finished Technology Mapping : Time (s): cpu = 00:00:26 ; elapsed = 00:00:27 . Memory (MB): peak = 2456.430 ; gain = 117.941 ; free physical = 30827 ; free virtual = 60432
  3176. ---------------------------------------------------------------------------------
  3177. ---------------------------------------------------------------------------------
  3178. Start IO Insertion
  3179. ---------------------------------------------------------------------------------
  3180. ---------------------------------------------------------------------------------
  3181. Start Flattening Before IO Insertion
  3182. ---------------------------------------------------------------------------------
  3183. ---------------------------------------------------------------------------------
  3184. Finished Flattening Before IO Insertion
  3185. ---------------------------------------------------------------------------------
  3186. ---------------------------------------------------------------------------------
  3187. Start Final Netlist Cleanup
  3188. ---------------------------------------------------------------------------------
  3189. ---------------------------------------------------------------------------------
  3190. Finished Final Netlist Cleanup
  3191. ---------------------------------------------------------------------------------
  3192. ---------------------------------------------------------------------------------
  3193. Finished IO Insertion : Time (s): cpu = 00:00:28 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.398 ; gain = 120.910 ; free physical = 30827 ; free virtual = 60432
  3194. ---------------------------------------------------------------------------------
  3195. ---------------------------------------------------------------------------------
  3196. Start Renaming Generated Instances
  3197. ---------------------------------------------------------------------------------
  3198. ---------------------------------------------------------------------------------
  3199. Finished Renaming Generated Instances : Time (s): cpu = 00:00:28 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.398 ; gain = 120.910 ; free physical = 30827 ; free virtual = 60432
  3200. ---------------------------------------------------------------------------------
  3201. ---------------------------------------------------------------------------------
  3202. Start Rebuilding User Hierarchy
  3203. ---------------------------------------------------------------------------------
  3204. ---------------------------------------------------------------------------------
  3205. Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:29 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.398 ; gain = 120.910 ; free physical = 30827 ; free virtual = 60432
  3206. ---------------------------------------------------------------------------------
  3207. ---------------------------------------------------------------------------------
  3208. Start Renaming Generated Ports
  3209. ---------------------------------------------------------------------------------
  3210. ---------------------------------------------------------------------------------
  3211. Finished Renaming Generated Ports : Time (s): cpu = 00:00:29 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.398 ; gain = 120.910 ; free physical = 30827 ; free virtual = 60432
  3212. ---------------------------------------------------------------------------------
  3213. ---------------------------------------------------------------------------------
  3214. Start Handling Custom Attributes
  3215. ---------------------------------------------------------------------------------
  3216. ---------------------------------------------------------------------------------
  3217. Finished Handling Custom Attributes : Time (s): cpu = 00:00:29 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.398 ; gain = 120.910 ; free physical = 30827 ; free virtual = 60432
  3218. ---------------------------------------------------------------------------------
  3219. ---------------------------------------------------------------------------------
  3220. Start Renaming Generated Nets
  3221. ---------------------------------------------------------------------------------
  3222. ---------------------------------------------------------------------------------
  3223. Finished Renaming Generated Nets : Time (s): cpu = 00:00:29 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.398 ; gain = 120.910 ; free physical = 30827 ; free virtual = 60432
  3224. ---------------------------------------------------------------------------------
  3225. ---------------------------------------------------------------------------------
  3226. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  3227. ---------------------------------------------------------------------------------
  3228.  
  3229. Static Shift Register Report:
  3230. +------------------+---------------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
  3231. |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E |
  3232. +------------------+---------------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
  3233. |pcie_s7_pcie2_top | inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].pipe_quad.gt_common_enabled.gt_common_int.gt_common_i/qpll_wrapper_i/cpllPDInst/cpllpd_wait_reg[95] | 96 | 1 | NO | NO | YES | 0 | 3 |
  3234. |pcie_s7_pcie2_top | inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].pipe_quad.gt_common_enabled.gt_common_int.gt_common_i/qpll_wrapper_i/cpllPDInst/cpllreset_wait_reg[127] | 128 | 1 | NO | NO | YES | 0 | 4 |
  3235. |pcie_s7_pcie2_top | inst/ltssm_reg2_reg[5] | 3 | 6 | NO | NO | YES | 6 | 0 |
  3236. +------------------+---------------------------------------------------------------------------------------------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
  3237.  
  3238. ---------------------------------------------------------------------------------
  3239. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  3240. ---------------------------------------------------------------------------------
  3241. ---------------------------------------------------------------------------------
  3242. Start Writing Synthesis Report
  3243. ---------------------------------------------------------------------------------
  3244.  
  3245. Report BlackBoxes:
  3246. +-+--------------+----------+
  3247. | |BlackBox name |Instances |
  3248. +-+--------------+----------+
  3249. +-+--------------+----------+
  3250.  
  3251. Report Cell Usage:
  3252. +------+--------------+------+
  3253. | |Cell |Count |
  3254. +------+--------------+------+
  3255. |1 |BUFG | 1|
  3256. |2 |CARRY4 | 30|
  3257. |3 |GTPE2_CHANNEL | 4|
  3258. |4 |GTPE2_COMMON | 1|
  3259. |5 |LUT1 | 65|
  3260. |6 |LUT2 | 262|
  3261. |7 |LUT3 | 367|
  3262. |8 |LUT4 | 275|
  3263. |9 |LUT5 | 386|
  3264. |10 |LUT6 | 384|
  3265. |11 |PCIE_2 | 1|
  3266. |12 |RAMB36E1 | 8|
  3267. |13 |SRL16E | 6|
  3268. |14 |SRLC32E | 7|
  3269. |15 |FDCE | 9|
  3270. |16 |FDPE | 2|
  3271. |17 |FDRE | 2953|
  3272. |18 |FDSE | 98|
  3273. +------+--------------+------+
  3274. ---------------------------------------------------------------------------------
  3275. Finished Writing Synthesis Report : Time (s): cpu = 00:00:29 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.398 ; gain = 120.910 ; free physical = 30827 ; free virtual = 60432
  3276. ---------------------------------------------------------------------------------
  3277. Synthesis finished with 0 errors, 0 critical warnings and 0 warnings.
  3278. Synthesis Optimization Runtime : Time (s): cpu = 00:00:26 ; elapsed = 00:00:27 . Memory (MB): peak = 2459.398 ; gain = 51.023 ; free physical = 30879 ; free virtual = 60484
  3279. Synthesis Optimization Complete : Time (s): cpu = 00:00:29 ; elapsed = 00:00:30 . Memory (MB): peak = 2459.406 ; gain = 120.910 ; free physical = 30879 ; free virtual = 60484
  3280. INFO: [Project 1-571] Translating synthesized netlist
  3281. Netlist sorting complete. Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2459.406 ; gain = 0.000 ; free physical = 30964 ; free virtual = 60569
  3282. INFO: [Netlist 29-17] Analyzing 38 Unisim elements for replacement
  3283. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  3284. INFO: [Project 1-570] Preparing netlist for logic optimization
  3285. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7_ooc.xdc] for cell 'inst'
  3286. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/synth/pcie_s7_ooc.xdc] for cell 'inst'
  3287. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc] for cell 'inst'
  3288. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc] for cell 'inst'
  3289. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  3290. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2511.227 ; gain = 0.000 ; free physical = 30896 ; free virtual = 60501
  3291. INFO: [Project 1-111] Unisim Transformation Summary:
  3292. No Unisim elements were transformed.
  3293.  
  3294. INFO: [Common 17-83] Releasing license: Synthesis
  3295. 133 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
  3296. synth_design completed successfully
  3297. synth_design: Time (s): cpu = 00:00:37 ; elapsed = 00:00:35 . Memory (MB): peak = 2511.227 ; gain = 172.820 ; free physical = 31142 ; free virtual = 60747
  3298. INFO: [Coretcl 2-1174] Renamed 78 cell refs.
  3299. INFO: [Timing 38-35] Done setting XDC timing constraints.
  3300. INFO: [Timing 38-480] Writing timing data to binary archive.
  3301. Writing placer database...
  3302. Writing XDEF routing.
  3303. Writing XDEF routing logical nets.
  3304. Writing XDEF routing special nets.
  3305. Write XDEF Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2787.059 ; gain = 2.969 ; free physical = 30804 ; free virtual = 60411
  3306. INFO: [Common 17-1381] The checkpoint '/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7.dcp' has been generated.
  3307. INFO: [Vivado 12-3441] generate_netlist_ip - operation complete
  3308. synth_ip: Time (s): cpu = 00:00:44 ; elapsed = 00:00:42 . Memory (MB): peak = 2789.020 ; gain = 493.629 ; free physical = 30894 ; free virtual = 60495
  3309. # get_files -all -of_objects [get_files {/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7.xci}]
  3310. # read_xdc sqrl_acorn.xdc
  3311. # set_property PROCESSING_ORDER EARLY [get_files sqrl_acorn.xdc]
  3312. # synth_design -directive default -top sqrl_acorn -part xc7a200t-fbg484-2
  3313. Command: synth_design -directive default -top sqrl_acorn -part xc7a200t-fbg484-2
  3314. Starting synth_design
  3315. Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
  3316. INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
  3317. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
  3318. ---------------------------------------------------------------------------------
  3319. Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2789.020 ; gain = 0.000 ; free physical = 29862 ; free virtual = 59463
  3320. ---------------------------------------------------------------------------------
  3321. INFO: [Synth 8-6157] synthesizing module 'sqrl_acorn' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  3322. INFO: [Synth 8-3876] $readmem data file 'mem.init' is read successfully [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18215]
  3323. INFO: [Synth 8-3876] $readmem data file 'mem_1.init' is read successfully [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18235]
  3324. INFO: [Synth 8-3876] $readmem data file 'mem_2.init' is read successfully [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18247]
  3325. INFO: [Synth 8-226] default block is never used [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:9287]
  3326. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:14356]
  3327. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:14376]
  3328. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16900]
  3329. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16945]
  3330. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16968]
  3331. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17036]
  3332. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17086]
  3333. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17098]
  3334. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17129]
  3335. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17141]
  3336. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17273]
  3337. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17296]
  3338. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17325]
  3339. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17477]
  3340. INFO: [Synth 8-155] case statement is not full and has no default [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:17532]
  3341. INFO: [Synth 8-6157] synthesizing module 'BUFG' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083]
  3342. INFO: [Synth 8-6155] done synthesizing module 'BUFG' (1#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1083]
  3343. WARNING: [Synth 8-4446] all outputs are unconnected for this instance and logic may be removed [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18321]
  3344. INFO: [Synth 8-6157] synthesizing module 'IDELAYCTRL' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35060]
  3345. Parameter SIM_DEVICE bound to: 7SERIES - type: string
  3346. INFO: [Synth 8-6155] done synthesizing module 'IDELAYCTRL' (2#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35060]
  3347. WARNING: [Synth 8-7071] port 'RDY' of module 'IDELAYCTRL' is unconnected for instance 'IDELAYCTRL' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18321]
  3348. WARNING: [Synth 8-7023] instance 'IDELAYCTRL' of module 'IDELAYCTRL' has 3 connections declared, but only 2 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18321]
  3349. INFO: [Synth 8-6157] synthesizing module 'OSERDESE2' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:50398]
  3350. Parameter DATA_RATE_OQ bound to: DDR - type: string
  3351. Parameter DATA_RATE_TQ bound to: BUF - type: string
  3352. Parameter DATA_WIDTH bound to: 8 - type: integer
  3353. Parameter INIT_OQ bound to: 1'b0
  3354. Parameter INIT_TQ bound to: 1'b0
  3355. Parameter IS_CLKDIV_INVERTED bound to: 1'b0
  3356. Parameter IS_CLK_INVERTED bound to: 1'b0
  3357. Parameter IS_D1_INVERTED bound to: 1'b0
  3358. Parameter IS_D2_INVERTED bound to: 1'b0
  3359. Parameter IS_D3_INVERTED bound to: 1'b0
  3360. Parameter IS_D4_INVERTED bound to: 1'b0
  3361. Parameter IS_D5_INVERTED bound to: 1'b0
  3362. Parameter IS_D6_INVERTED bound to: 1'b0
  3363. Parameter IS_D7_INVERTED bound to: 1'b0
  3364. Parameter IS_D8_INVERTED bound to: 1'b0
  3365. Parameter IS_T1_INVERTED bound to: 1'b0
  3366. Parameter IS_T2_INVERTED bound to: 1'b0
  3367. Parameter IS_T3_INVERTED bound to: 1'b0
  3368. Parameter IS_T4_INVERTED bound to: 1'b0
  3369. Parameter SERDES_MODE bound to: MASTER - type: string
  3370. Parameter SRVAL_OQ bound to: 1'b0
  3371. Parameter SRVAL_TQ bound to: 1'b0
  3372. Parameter TBYTE_CTL bound to: FALSE - type: string
  3373. Parameter TBYTE_SRC bound to: FALSE - type: string
  3374. Parameter TRISTATE_WIDTH bound to: 1 - type: integer
  3375. INFO: [Synth 8-6155] done synthesizing module 'OSERDESE2' (3#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:50398]
  3376. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3377. WARNING: [Synth 8-7071] port 'SHIFTOUT1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3378. WARNING: [Synth 8-7071] port 'SHIFTOUT2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3379. WARNING: [Synth 8-7071] port 'TBYTEOUT' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3380. WARNING: [Synth 8-7071] port 'TFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3381. WARNING: [Synth 8-7071] port 'TQ' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3382. WARNING: [Synth 8-7071] port 'SHIFTIN1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3383. WARNING: [Synth 8-7071] port 'SHIFTIN2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3384. WARNING: [Synth 8-7071] port 'T1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3385. WARNING: [Synth 8-7071] port 'T2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3386. WARNING: [Synth 8-7071] port 'T3' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3387. WARNING: [Synth 8-7071] port 'T4' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3388. WARNING: [Synth 8-7071] port 'TBYTEIN' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3389. WARNING: [Synth 8-7071] port 'TCE' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3390. WARNING: [Synth 8-7023] instance 'OSERDESE2' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18332]
  3391. INFO: [Synth 8-6157] synthesizing module 'OBUFDS' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:46332]
  3392. Parameter CAPACITANCE bound to: DONT_CARE - type: string
  3393. Parameter IOSTANDARD bound to: DEFAULT - type: string
  3394. Parameter SLEW bound to: SLOW - type: string
  3395. INFO: [Synth 8-6155] done synthesizing module 'OBUFDS' (4#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:46332]
  3396. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3397. WARNING: [Synth 8-7071] port 'SHIFTOUT1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3398. WARNING: [Synth 8-7071] port 'SHIFTOUT2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3399. WARNING: [Synth 8-7071] port 'TBYTEOUT' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3400. WARNING: [Synth 8-7071] port 'TFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3401. WARNING: [Synth 8-7071] port 'TQ' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3402. WARNING: [Synth 8-7071] port 'SHIFTIN1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3403. WARNING: [Synth 8-7071] port 'SHIFTIN2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3404. WARNING: [Synth 8-7071] port 'T1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3405. WARNING: [Synth 8-7071] port 'T2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3406. WARNING: [Synth 8-7071] port 'T3' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3407. WARNING: [Synth 8-7071] port 'T4' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3408. WARNING: [Synth 8-7071] port 'TBYTEIN' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3409. WARNING: [Synth 8-7071] port 'TCE' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_1' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3410. WARNING: [Synth 8-7023] instance 'OSERDESE2_1' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18360]
  3411. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3412. WARNING: [Synth 8-7071] port 'SHIFTOUT1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3413. WARNING: [Synth 8-7071] port 'SHIFTOUT2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3414. WARNING: [Synth 8-7071] port 'TBYTEOUT' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3415. WARNING: [Synth 8-7071] port 'TFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3416. WARNING: [Synth 8-7071] port 'TQ' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3417. WARNING: [Synth 8-7071] port 'SHIFTIN1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3418. WARNING: [Synth 8-7071] port 'SHIFTIN2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3419. WARNING: [Synth 8-7071] port 'T1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3420. WARNING: [Synth 8-7071] port 'T2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3421. WARNING: [Synth 8-7071] port 'T3' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3422. WARNING: [Synth 8-7071] port 'T4' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3423. WARNING: [Synth 8-7071] port 'TBYTEIN' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3424. WARNING: [Synth 8-7071] port 'TCE' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_2' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3425. WARNING: [Synth 8-7023] instance 'OSERDESE2_2' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18382]
  3426. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3427. WARNING: [Synth 8-7071] port 'SHIFTOUT1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3428. WARNING: [Synth 8-7071] port 'SHIFTOUT2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3429. WARNING: [Synth 8-7071] port 'TBYTEOUT' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3430. WARNING: [Synth 8-7071] port 'TFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3431. WARNING: [Synth 8-7071] port 'TQ' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3432. WARNING: [Synth 8-7071] port 'SHIFTIN1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3433. WARNING: [Synth 8-7071] port 'SHIFTIN2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3434. WARNING: [Synth 8-7071] port 'T1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3435. WARNING: [Synth 8-7071] port 'T2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3436. WARNING: [Synth 8-7071] port 'T3' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3437. WARNING: [Synth 8-7071] port 'T4' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3438. WARNING: [Synth 8-7071] port 'TBYTEIN' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3439. WARNING: [Synth 8-7071] port 'TCE' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_3' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3440. WARNING: [Synth 8-7023] instance 'OSERDESE2_3' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18404]
  3441. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3442. WARNING: [Synth 8-7071] port 'SHIFTOUT1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3443. WARNING: [Synth 8-7071] port 'SHIFTOUT2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3444. WARNING: [Synth 8-7071] port 'TBYTEOUT' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3445. WARNING: [Synth 8-7071] port 'TFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3446. WARNING: [Synth 8-7071] port 'TQ' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3447. WARNING: [Synth 8-7071] port 'SHIFTIN1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3448. WARNING: [Synth 8-7071] port 'SHIFTIN2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3449. WARNING: [Synth 8-7071] port 'T1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3450. WARNING: [Synth 8-7071] port 'T2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3451. WARNING: [Synth 8-7071] port 'T3' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3452. WARNING: [Synth 8-7071] port 'T4' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3453. WARNING: [Synth 8-7071] port 'TBYTEIN' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3454. WARNING: [Synth 8-7071] port 'TCE' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_4' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3455. WARNING: [Synth 8-7023] instance 'OSERDESE2_4' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18426]
  3456. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3457. WARNING: [Synth 8-7071] port 'SHIFTOUT1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3458. WARNING: [Synth 8-7071] port 'SHIFTOUT2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3459. WARNING: [Synth 8-7071] port 'TBYTEOUT' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3460. WARNING: [Synth 8-7071] port 'TFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3461. WARNING: [Synth 8-7071] port 'TQ' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3462. WARNING: [Synth 8-7071] port 'SHIFTIN1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3463. WARNING: [Synth 8-7071] port 'SHIFTIN2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3464. WARNING: [Synth 8-7071] port 'T1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3465. WARNING: [Synth 8-7071] port 'T2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3466. WARNING: [Synth 8-7071] port 'T3' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3467. WARNING: [Synth 8-7071] port 'T4' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3468. WARNING: [Synth 8-7071] port 'TBYTEIN' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3469. WARNING: [Synth 8-7071] port 'TCE' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_5' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3470. WARNING: [Synth 8-7023] instance 'OSERDESE2_5' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18448]
  3471. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3472. WARNING: [Synth 8-7071] port 'SHIFTOUT1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3473. WARNING: [Synth 8-7071] port 'SHIFTOUT2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3474. WARNING: [Synth 8-7071] port 'TBYTEOUT' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3475. WARNING: [Synth 8-7071] port 'TFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3476. WARNING: [Synth 8-7071] port 'TQ' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3477. WARNING: [Synth 8-7071] port 'SHIFTIN1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3478. WARNING: [Synth 8-7071] port 'SHIFTIN2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3479. WARNING: [Synth 8-7071] port 'T1' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3480. WARNING: [Synth 8-7071] port 'T2' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3481. WARNING: [Synth 8-7071] port 'T3' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3482. WARNING: [Synth 8-7071] port 'T4' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3483. WARNING: [Synth 8-7071] port 'TBYTEIN' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3484. WARNING: [Synth 8-7071] port 'TCE' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_6' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3485. WARNING: [Synth 8-7023] instance 'OSERDESE2_6' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18470]
  3486. WARNING: [Synth 8-7071] port 'OFB' of module 'OSERDESE2' is unconnected for instance 'OSERDESE2_7' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18492]
  3487. INFO: [Common 17-14] Message 'Synth 8-7071' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
  3488. WARNING: [Synth 8-7023] instance 'OSERDESE2_7' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18492]
  3489. WARNING: [Synth 8-7023] instance 'OSERDESE2_8' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18514]
  3490. WARNING: [Synth 8-7023] instance 'OSERDESE2_9' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18536]
  3491. WARNING: [Synth 8-7023] instance 'OSERDESE2_10' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18558]
  3492. WARNING: [Synth 8-7023] instance 'OSERDESE2_11' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18580]
  3493. WARNING: [Synth 8-7023] instance 'OSERDESE2_12' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18602]
  3494. WARNING: [Synth 8-7023] instance 'OSERDESE2_13' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18624]
  3495. WARNING: [Synth 8-7023] instance 'OSERDESE2_14' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18646]
  3496. WARNING: [Synth 8-7023] instance 'OSERDESE2_15' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18668]
  3497. WARNING: [Synth 8-7023] instance 'OSERDESE2_16' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18690]
  3498. WARNING: [Synth 8-7023] instance 'OSERDESE2_17' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18712]
  3499. WARNING: [Synth 8-7023] instance 'OSERDESE2_18' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18734]
  3500. WARNING: [Synth 8-7023] instance 'OSERDESE2_19' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18756]
  3501. WARNING: [Synth 8-7023] instance 'OSERDESE2_20' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18778]
  3502. WARNING: [Synth 8-7023] instance 'OSERDESE2_21' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18800]
  3503. WARNING: [Synth 8-7023] instance 'OSERDESE2_22' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18822]
  3504. WARNING: [Synth 8-7023] instance 'OSERDESE2_23' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18844]
  3505. WARNING: [Synth 8-7023] instance 'OSERDESE2_24' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18866]
  3506. WARNING: [Synth 8-7023] instance 'OSERDESE2_25' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18888]
  3507. WARNING: [Synth 8-7023] instance 'OSERDESE2_26' of module 'OSERDESE2' has 27 connections declared, but only 17 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18910]
  3508. INFO: [Synth 8-6157] synthesizing module 'IOBUFDS' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:36259]
  3509. Parameter DIFF_TERM bound to: FALSE - type: string
  3510. Parameter DQS_BIAS bound to: FALSE - type: string
  3511. Parameter IBUF_LOW_PWR bound to: TRUE - type: string
  3512. Parameter IOSTANDARD bound to: DEFAULT - type: string
  3513. Parameter SLEW bound to: SLOW - type: string
  3514. INFO: [Synth 8-6155] done synthesizing module 'IOBUFDS' (5#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:36259]
  3515. WARNING: [Synth 8-7023] instance 'IOBUFDS' of module 'IOBUFDS' has 5 connections declared, but only 4 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18930]
  3516. WARNING: [Synth 8-7023] instance 'OSERDESE2_27' of module 'OSERDESE2' has 27 connections declared, but only 17 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18943]
  3517. WARNING: [Synth 8-7023] instance 'IOBUFDS_1' of module 'IOBUFDS' has 5 connections declared, but only 4 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18963]
  3518. WARNING: [Synth 8-7023] instance 'OSERDESE2_28' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18976]
  3519. WARNING: [Synth 8-7023] instance 'OSERDESE2_29' of module 'OSERDESE2' has 27 connections declared, but only 13 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:18998]
  3520. WARNING: [Synth 8-7023] instance 'OSERDESE2_30' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19020]
  3521. INFO: [Synth 8-6157] synthesizing module 'ISERDESE2' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:38620]
  3522. Parameter DATA_RATE bound to: DDR - type: string
  3523. Parameter DATA_WIDTH bound to: 8 - type: integer
  3524. Parameter DYN_CLKDIV_INV_EN bound to: FALSE - type: string
  3525. Parameter DYN_CLK_INV_EN bound to: FALSE - type: string
  3526. Parameter INIT_Q1 bound to: 1'b0
  3527. Parameter INIT_Q2 bound to: 1'b0
  3528. Parameter INIT_Q3 bound to: 1'b0
  3529. Parameter INIT_Q4 bound to: 1'b0
  3530. Parameter INTERFACE_TYPE bound to: NETWORKING - type: string
  3531. Parameter IOBDELAY bound to: IFD - type: string
  3532. Parameter IS_CLKB_INVERTED bound to: 1'b0
  3533. Parameter IS_CLKDIVP_INVERTED bound to: 1'b0
  3534. Parameter IS_CLKDIV_INVERTED bound to: 1'b0
  3535. Parameter IS_CLK_INVERTED bound to: 1'b0
  3536. Parameter IS_D_INVERTED bound to: 1'b0
  3537. Parameter IS_OCLKB_INVERTED bound to: 1'b0
  3538. Parameter IS_OCLK_INVERTED bound to: 1'b0
  3539. Parameter NUM_CE bound to: 1 - type: integer
  3540. Parameter OFB_USED bound to: FALSE - type: string
  3541. Parameter SERDES_MODE bound to: MASTER - type: string
  3542. Parameter SRVAL_Q1 bound to: 1'b0
  3543. Parameter SRVAL_Q2 bound to: 1'b0
  3544. Parameter SRVAL_Q3 bound to: 1'b0
  3545. Parameter SRVAL_Q4 bound to: 1'b0
  3546. INFO: [Synth 8-6155] done synthesizing module 'ISERDESE2' (6#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:38620]
  3547. WARNING: [Synth 8-7023] instance 'ISERDESE2' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19046]
  3548. INFO: [Synth 8-6157] synthesizing module 'IDELAYE2' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073]
  3549. Parameter CINVCTRL_SEL bound to: FALSE - type: string
  3550. Parameter DELAY_SRC bound to: IDATAIN - type: string
  3551. Parameter HIGH_PERFORMANCE_MODE bound to: TRUE - type: string
  3552. Parameter IDELAY_TYPE bound to: VARIABLE - type: string
  3553. Parameter IDELAY_VALUE bound to: 0 - type: integer
  3554. Parameter IS_C_INVERTED bound to: 1'b0
  3555. Parameter IS_DATAIN_INVERTED bound to: 1'b0
  3556. Parameter IS_IDATAIN_INVERTED bound to: 1'b0
  3557. Parameter PIPE_SEL bound to: FALSE - type: string
  3558. Parameter REFCLK_FREQUENCY bound to: 200.000000 - type: double
  3559. Parameter SIGNAL_PATTERN bound to: DATA - type: string
  3560. Parameter SIM_DELAY_D bound to: 0 - type: integer
  3561. INFO: [Synth 8-6155] done synthesizing module 'IDELAYE2' (7#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:35073]
  3562. WARNING: [Synth 8-7023] instance 'IDELAYE2' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19073]
  3563. INFO: [Synth 8-6157] synthesizing module 'IOBUF' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:36242]
  3564. Parameter DRIVE bound to: 12 - type: integer
  3565. Parameter IBUF_LOW_PWR bound to: TRUE - type: string
  3566. Parameter IOSTANDARD bound to: DEFAULT - type: string
  3567. Parameter SLEW bound to: SLOW - type: string
  3568. INFO: [Synth 8-6155] done synthesizing module 'IOBUF' (8#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:36242]
  3569. WARNING: [Synth 8-7023] instance 'OSERDESE2_31' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19096]
  3570. WARNING: [Synth 8-7023] instance 'ISERDESE2_1' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19122]
  3571. WARNING: [Synth 8-7023] instance 'IDELAYE2_1' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19149]
  3572. WARNING: [Synth 8-7023] instance 'OSERDESE2_32' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19172]
  3573. WARNING: [Synth 8-7023] instance 'ISERDESE2_2' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19198]
  3574. WARNING: [Synth 8-7023] instance 'IDELAYE2_2' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19225]
  3575. WARNING: [Synth 8-7023] instance 'OSERDESE2_33' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19248]
  3576. WARNING: [Synth 8-7023] instance 'ISERDESE2_3' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19274]
  3577. WARNING: [Synth 8-7023] instance 'IDELAYE2_3' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19301]
  3578. WARNING: [Synth 8-7023] instance 'OSERDESE2_34' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19324]
  3579. WARNING: [Synth 8-7023] instance 'ISERDESE2_4' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19350]
  3580. WARNING: [Synth 8-7023] instance 'IDELAYE2_4' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19377]
  3581. WARNING: [Synth 8-7023] instance 'OSERDESE2_35' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19400]
  3582. WARNING: [Synth 8-7023] instance 'ISERDESE2_5' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19426]
  3583. WARNING: [Synth 8-7023] instance 'IDELAYE2_5' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19453]
  3584. WARNING: [Synth 8-7023] instance 'OSERDESE2_36' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19476]
  3585. WARNING: [Synth 8-7023] instance 'ISERDESE2_6' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19502]
  3586. WARNING: [Synth 8-7023] instance 'IDELAYE2_6' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19529]
  3587. WARNING: [Synth 8-7023] instance 'OSERDESE2_37' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19552]
  3588. WARNING: [Synth 8-7023] instance 'ISERDESE2_7' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19578]
  3589. WARNING: [Synth 8-7023] instance 'IDELAYE2_7' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19605]
  3590. WARNING: [Synth 8-7023] instance 'OSERDESE2_38' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19628]
  3591. WARNING: [Synth 8-7023] instance 'ISERDESE2_8' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19654]
  3592. WARNING: [Synth 8-7023] instance 'IDELAYE2_8' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19681]
  3593. WARNING: [Synth 8-7023] instance 'OSERDESE2_39' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19704]
  3594. WARNING: [Synth 8-7023] instance 'ISERDESE2_9' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19730]
  3595. WARNING: [Synth 8-7023] instance 'IDELAYE2_9' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19757]
  3596. WARNING: [Synth 8-7023] instance 'OSERDESE2_40' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19780]
  3597. WARNING: [Synth 8-7023] instance 'ISERDESE2_10' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19806]
  3598. WARNING: [Synth 8-7023] instance 'IDELAYE2_10' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19833]
  3599. WARNING: [Synth 8-7023] instance 'OSERDESE2_41' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19856]
  3600. WARNING: [Synth 8-7023] instance 'ISERDESE2_11' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19882]
  3601. WARNING: [Synth 8-7023] instance 'IDELAYE2_11' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19909]
  3602. WARNING: [Synth 8-7023] instance 'OSERDESE2_42' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19932]
  3603. WARNING: [Synth 8-7023] instance 'ISERDESE2_12' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19958]
  3604. WARNING: [Synth 8-7023] instance 'IDELAYE2_12' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:19985]
  3605. WARNING: [Synth 8-7023] instance 'OSERDESE2_43' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20008]
  3606. WARNING: [Synth 8-7023] instance 'ISERDESE2_13' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20034]
  3607. WARNING: [Synth 8-7023] instance 'IDELAYE2_13' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20061]
  3608. WARNING: [Synth 8-7023] instance 'OSERDESE2_44' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20084]
  3609. WARNING: [Synth 8-7023] instance 'ISERDESE2_14' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20110]
  3610. WARNING: [Synth 8-7023] instance 'IDELAYE2_14' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20137]
  3611. WARNING: [Synth 8-7023] instance 'OSERDESE2_45' of module 'OSERDESE2' has 27 connections declared, but only 16 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20160]
  3612. WARNING: [Synth 8-7023] instance 'ISERDESE2_15' of module 'ISERDESE2' has 28 connections declared, but only 15 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20186]
  3613. WARNING: [Synth 8-7023] instance 'IDELAYE2_15' of module 'IDELAYE2' has 12 connections declared, but only 7 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20213]
  3614. INFO: [Synth 8-6157] synthesizing module 'IBUFDS_GTE2' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33137]
  3615. Parameter CLKCM_CFG bound to: TRUE - type: string
  3616. Parameter CLKRCV_TRST bound to: TRUE - type: string
  3617. Parameter CLKSWING_CFG bound to: 2'b11
  3618. INFO: [Synth 8-6155] done synthesizing module 'IBUFDS_GTE2' (9#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:33137]
  3619. WARNING: [Synth 8-7023] instance 'IBUFDS_GTE2' of module 'IBUFDS_GTE2' has 5 connections declared, but only 4 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20352]
  3620. WARNING: [Synth 8-4446] all outputs are unconnected for this instance and logic may be removed [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20505]
  3621. INFO: [Synth 8-6157] synthesizing module 'ICAPE2' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:34801]
  3622. Parameter DEVICE_ID bound to: 56955027 - type: integer
  3623. Parameter ICAP_WIDTH bound to: X32 - type: string
  3624. Parameter SIM_CFG_FILE_NAME bound to: NONE - type: string
  3625. INFO: [Synth 8-6155] done synthesizing module 'ICAPE2' (10#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:34801]
  3626. WARNING: [Synth 8-7023] instance 'ICAPE2' of module 'ICAPE2' has 5 connections declared, but only 4 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20505]
  3627. WARNING: [Synth 8-4446] all outputs are unconnected for this instance and logic may be removed [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20512]
  3628. INFO: [Synth 8-6157] synthesizing module 'STARTUPE2' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:78204]
  3629. Parameter PROG_USR bound to: FALSE - type: string
  3630. Parameter SIM_CCLK_FREQ bound to: 0.000000 - type: double
  3631. INFO: [Synth 8-6155] done synthesizing module 'STARTUPE2' (11#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:78204]
  3632. WARNING: [Synth 8-7023] instance 'STARTUPE2' of module 'STARTUPE2' has 13 connections declared, but only 9 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20512]
  3633. INFO: [Synth 8-6157] synthesizing module 'VexRiscv' [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:46]
  3634. INFO: [Synth 8-6157] synthesizing module 'InstructionCache' [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:6019]
  3635. INFO: [Synth 8-6155] done synthesizing module 'InstructionCache' (12#1) [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:6019]
  3636. INFO: [Synth 8-6157] synthesizing module 'DataCache' [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:5188]
  3637. INFO: [Synth 8-6155] done synthesizing module 'DataCache' (13#1) [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:5188]
  3638. INFO: [Synth 8-6155] done synthesizing module 'VexRiscv' (14#1) [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:46]
  3639. INFO: [Synth 8-6157] synthesizing module 'FD' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:13483]
  3640. Parameter INIT bound to: 1'b0
  3641. INFO: [Synth 8-6155] done synthesizing module 'FD' (15#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:13483]
  3642. INFO: [Synth 8-6157] synthesizing module 'PLLE2_ADV' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526]
  3643. Parameter BANDWIDTH bound to: OPTIMIZED - type: string
  3644. Parameter CLKFBOUT_MULT bound to: 8 - type: integer
  3645. Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double
  3646. Parameter CLKIN1_PERIOD bound to: 5.000000 - type: double
  3647. Parameter CLKIN2_PERIOD bound to: 0.000000 - type: double
  3648. Parameter CLKOUT0_DIVIDE bound to: 16 - type: integer
  3649. Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double
  3650. Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double
  3651. Parameter CLKOUT1_DIVIDE bound to: 4 - type: integer
  3652. Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double
  3653. Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double
  3654. Parameter CLKOUT2_DIVIDE bound to: 4 - type: integer
  3655. Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double
  3656. Parameter CLKOUT2_PHASE bound to: 90.000000 - type: double
  3657. Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer
  3658. Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double
  3659. Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double
  3660. Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer
  3661. Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double
  3662. Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double
  3663. Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer
  3664. Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double
  3665. Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double
  3666. Parameter COMPENSATION bound to: ZHOLD - type: string
  3667. Parameter DIVCLK_DIVIDE bound to: 1 - type: integer
  3668. Parameter IS_CLKINSEL_INVERTED bound to: 1'b0
  3669. Parameter IS_PWRDWN_INVERTED bound to: 1'b0
  3670. Parameter IS_RST_INVERTED bound to: 1'b0
  3671. Parameter REF_JITTER1 bound to: 0.010000 - type: double
  3672. Parameter REF_JITTER2 bound to: 0.010000 - type: double
  3673. Parameter STARTUP_WAIT bound to: FALSE - type: string
  3674. INFO: [Synth 8-6155] done synthesizing module 'PLLE2_ADV' (16#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:61526]
  3675. WARNING: [Synth 8-7023] instance 'PLLE2_ADV' of module 'PLLE2_ADV' has 21 connections declared, but only 10 given [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20617]
  3676. INFO: [Synth 8-6157] synthesizing module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7_support.v:61]
  3677. Parameter LINK_CAP_MAX_LINK_WIDTH bound to: 3'b100
  3678. Parameter CLK_SHARING_EN bound to: FALSE - type: string
  3679. Parameter C_DATA_WIDTH bound to: 8'b10000000
  3680. Parameter KEEP_WIDTH bound to: 5'b10000
  3681. Parameter PCIE_REFCLK_FREQ bound to: 1'b0
  3682. Parameter PCIE_USERCLK1_FREQ bound to: 3'b100
  3683. Parameter PCIE_USERCLK2_FREQ bound to: 2'b11
  3684. Parameter PCIE_GT_DEVICE bound to: GTP - type: string
  3685. Parameter PCIE_USE_MODE bound to: 2.1 - type: string
  3686. INFO: [Synth 8-6157] synthesizing module 'pcie_pipe_clock' [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_pipe_clock.v:67]
  3687. Parameter PCIE_ASYNC_EN bound to: FALSE - type: string
  3688. Parameter PCIE_TXBUF_EN bound to: FALSE - type: string
  3689. Parameter PCIE_CLK_SHARING_EN bound to: FALSE - type: string
  3690. Parameter PCIE_LANE bound to: 3'b100
  3691. Parameter PCIE_LINK_SPEED bound to: 3 - type: integer
  3692. Parameter PCIE_REFCLK_FREQ bound to: 1'b0
  3693. Parameter PCIE_USERCLK1_FREQ bound to: 3'b100
  3694. Parameter PCIE_USERCLK2_FREQ bound to: 2'b11
  3695. Parameter PCIE_OOBCLK_MODE bound to: 1 - type: integer
  3696. Parameter PCIE_DEBUG_MODE bound to: 0 - type: integer
  3697. Parameter DIVCLK_DIVIDE bound to: 1 - type: integer
  3698. Parameter CLKFBOUT_MULT_F bound to: 10 - type: integer
  3699. Parameter CLKIN1_PERIOD bound to: 10 - type: integer
  3700. Parameter CLKOUT0_DIVIDE_F bound to: 8 - type: integer
  3701. Parameter CLKOUT1_DIVIDE bound to: 4 - type: integer
  3702. Parameter CLKOUT2_DIVIDE bound to: 4 - type: integer
  3703. Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer
  3704. Parameter CLKOUT4_DIVIDE bound to: 20 - type: integer
  3705. Parameter PCIE_GEN1_MODE bound to: 1'b0
  3706. INFO: [Synth 8-6157] synthesizing module 'BUFGCTRL' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1144]
  3707. Parameter CE_TYPE_CE0 bound to: SYNC - type: string
  3708. Parameter CE_TYPE_CE1 bound to: SYNC - type: string
  3709. Parameter INIT_OUT bound to: 0 - type: integer
  3710. Parameter IS_CE0_INVERTED bound to: 1'b0
  3711. Parameter IS_CE1_INVERTED bound to: 1'b0
  3712. Parameter IS_I0_INVERTED bound to: 1'b0
  3713. Parameter IS_I1_INVERTED bound to: 1'b0
  3714. Parameter IS_IGNORE0_INVERTED bound to: 1'b0
  3715. Parameter IS_IGNORE1_INVERTED bound to: 1'b0
  3716. Parameter IS_S0_INVERTED bound to: 1'b0
  3717. Parameter IS_S1_INVERTED bound to: 1'b0
  3718. Parameter PRESELECT_I0 bound to: FALSE - type: string
  3719. Parameter PRESELECT_I1 bound to: FALSE - type: string
  3720. Parameter SIM_DEVICE bound to: ULTRASCALE - type: string
  3721. Parameter STARTUP_SYNC bound to: FALSE - type: string
  3722. INFO: [Synth 8-6155] done synthesizing module 'BUFGCTRL' (17#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:1144]
  3723. INFO: [Synth 8-6157] synthesizing module 'MMCME2_ADV' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:39998]
  3724. Parameter BANDWIDTH bound to: OPTIMIZED - type: string
  3725. Parameter CLKFBOUT_MULT_F bound to: 10.000000 - type: double
  3726. Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double
  3727. Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string
  3728. Parameter CLKIN1_PERIOD bound to: 10.000000 - type: double
  3729. Parameter CLKIN2_PERIOD bound to: 0.000000 - type: double
  3730. Parameter CLKOUT0_DIVIDE_F bound to: 8.000000 - type: double
  3731. Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double
  3732. Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double
  3733. Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string
  3734. Parameter CLKOUT1_DIVIDE bound to: 4 - type: integer
  3735. Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double
  3736. Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double
  3737. Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string
  3738. Parameter CLKOUT2_DIVIDE bound to: 4 - type: integer
  3739. Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double
  3740. Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double
  3741. Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string
  3742. Parameter CLKOUT3_DIVIDE bound to: 8 - type: integer
  3743. Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double
  3744. Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double
  3745. Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string
  3746. Parameter CLKOUT4_CASCADE bound to: FALSE - type: string
  3747. Parameter CLKOUT4_DIVIDE bound to: 20 - type: integer
  3748. Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: double
  3749. Parameter CLKOUT4_PHASE bound to: 0.000000 - type: double
  3750. Parameter CLKOUT4_USE_FINE_PS bound to: FALSE - type: string
  3751. Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer
  3752. Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: double
  3753. Parameter CLKOUT5_PHASE bound to: 0.000000 - type: double
  3754. Parameter CLKOUT5_USE_FINE_PS bound to: FALSE - type: string
  3755. Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer
  3756. Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: double
  3757. Parameter CLKOUT6_PHASE bound to: 0.000000 - type: double
  3758. Parameter CLKOUT6_USE_FINE_PS bound to: FALSE - type: string
  3759. Parameter COMPENSATION bound to: ZHOLD - type: string
  3760. Parameter DIVCLK_DIVIDE bound to: 1 - type: integer
  3761. Parameter IS_CLKINSEL_INVERTED bound to: 1'b0
  3762. Parameter IS_PSEN_INVERTED bound to: 1'b0
  3763. Parameter IS_PSINCDEC_INVERTED bound to: 1'b0
  3764. Parameter IS_PWRDWN_INVERTED bound to: 1'b0
  3765. Parameter IS_RST_INVERTED bound to: 1'b0
  3766. Parameter REF_JITTER1 bound to: 0.010000 - type: double
  3767. Parameter REF_JITTER2 bound to: 0.010000 - type: double
  3768. Parameter SS_EN bound to: FALSE - type: string
  3769. Parameter SS_MODE bound to: CENTER_HIGH - type: string
  3770. Parameter SS_MOD_PERIOD bound to: 10000 - type: integer
  3771. Parameter STARTUP_WAIT bound to: FALSE - type: string
  3772. INFO: [Synth 8-6155] done synthesizing module 'MMCME2_ADV' (18#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:39998]
  3773. INFO: [Synth 8-6155] done synthesizing module 'pcie_pipe_clock' (19#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_pipe_clock.v:67]
  3774. INFO: [Synth 8-6157] synthesizing module 'pcie_s7' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/.Xil/Vivado-11032-signac-i1/realtime/pcie_s7_stub.v:6]
  3775. INFO: [Synth 8-6155] done synthesizing module 'pcie_s7' (20#1) [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/.Xil/Vivado-11032-signac-i1/realtime/pcie_s7_stub.v:6]
  3776. INFO: [Synth 8-6155] done synthesizing module 'pcie_support' (21#1) [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7_support.v:61]
  3777. WARNING: [Synth 8-689] width (1) of port connection 'cfg_dcommand2' does not match port width (16) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20882]
  3778. WARNING: [Synth 8-689] width (1) of port connection 'cfg_dstatus' does not match port width (16) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20884]
  3779. WARNING: [Synth 8-689] width (1) of port connection 'cfg_interrupt_do' does not match port width (8) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20888]
  3780. WARNING: [Synth 8-689] width (1) of port connection 'cfg_interrupt_mmenable' does not match port width (3) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20889]
  3781. WARNING: [Synth 8-689] width (1) of port connection 'cfg_lcommand' does not match port width (16) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20894]
  3782. WARNING: [Synth 8-689] width (1) of port connection 'cfg_lstatus' does not match port width (16) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20895]
  3783. WARNING: [Synth 8-689] width (1) of port connection 'cfg_mgmt_do' does not match port width (32) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20896]
  3784. WARNING: [Synth 8-689] width (1) of port connection 'cfg_msg_data' does not match port width (16) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20898]
  3785. WARNING: [Synth 8-689] width (1) of port connection 'cfg_pcie_link_state' does not match port width (3) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20915]
  3786. WARNING: [Synth 8-689] width (1) of port connection 'cfg_pmcsr_powerstate' does not match port width (2) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20918]
  3787. WARNING: [Synth 8-689] width (1) of port connection 'cfg_status' does not match port width (16) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20925]
  3788. WARNING: [Synth 8-689] width (1) of port connection 'cfg_vc_tcvc_map' does not match port width (7) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20927]
  3789. WARNING: [Synth 8-689] width (1) of port connection 'fc_cpld' does not match port width (12) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20928]
  3790. WARNING: [Synth 8-689] width (1) of port connection 'fc_cplh' does not match port width (8) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20929]
  3791. WARNING: [Synth 8-689] width (1) of port connection 'fc_npd' does not match port width (12) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20930]
  3792. WARNING: [Synth 8-689] width (1) of port connection 'fc_nph' does not match port width (8) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20931]
  3793. WARNING: [Synth 8-689] width (1) of port connection 'fc_pd' does not match port width (12) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20932]
  3794. WARNING: [Synth 8-689] width (1) of port connection 'fc_ph' does not match port width (8) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20933]
  3795. WARNING: [Synth 8-689] width (32) of port connection 'm_axis_rx_tuser' does not match port width (22) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20937]
  3796. WARNING: [Synth 8-689] width (1) of port connection 'pcie_drp_do' does not match port width (16) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20941]
  3797. WARNING: [Synth 8-689] width (1) of port connection 'pipe_rxoutclk_out' does not match port width (4) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20947]
  3798. WARNING: [Synth 8-689] width (1) of port connection 'pl_initial_link_width' does not match port width (3) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20952]
  3799. WARNING: [Synth 8-689] width (1) of port connection 'pl_lane_reversal_mode' does not match port width (2) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20953]
  3800. WARNING: [Synth 8-689] width (1) of port connection 'pl_rx_pm_state' does not match port width (2) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20960]
  3801. WARNING: [Synth 8-689] width (1) of port connection 'pl_tx_pm_state' does not match port width (3) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20963]
  3802. WARNING: [Synth 8-689] width (1) of port connection 'tx_buf_av' does not match port width (6) of module 'pcie_support' [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:20965]
  3803. INFO: [Synth 8-6157] synthesizing module 'IBUFDS' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:32998]
  3804. Parameter CAPACITANCE bound to: DONT_CARE - type: string
  3805. Parameter DIFF_TERM bound to: FALSE - type: string
  3806. Parameter DQS_BIAS bound to: FALSE - type: string
  3807. Parameter IBUF_DELAY_VALUE bound to: 0 - type: string
  3808. Parameter IBUF_LOW_PWR bound to: TRUE - type: string
  3809. Parameter IFD_DELAY_VALUE bound to: AUTO - type: string
  3810. Parameter IOSTANDARD bound to: DEFAULT - type: string
  3811. INFO: [Synth 8-6155] done synthesizing module 'IBUFDS' (22#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:32998]
  3812. INFO: [Synth 8-6157] synthesizing module 'FDPE' [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:13664]
  3813. Parameter INIT bound to: 1'b1
  3814. Parameter IS_C_INVERTED bound to: 1'b0
  3815. Parameter IS_D_INVERTED bound to: 1'b0
  3816. Parameter IS_PRE_INVERTED bound to: 1'b0
  3817. INFO: [Synth 8-6155] done synthesizing module 'FDPE' (23#1) [/tools/Xilinx/Vivado/2020.2/scripts/rt/data/unisim_comp.v:13664]
  3818. INFO: [Synth 8-6155] done synthesizing module 'sqrl_acorn' (24#1) [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  3819. ---------------------------------------------------------------------------------
  3820. Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 2887.766 ; gain = 98.746 ; free physical = 30534 ; free virtual = 60138
  3821. ---------------------------------------------------------------------------------
  3822. ---------------------------------------------------------------------------------
  3823. Start Handling Custom Attributes
  3824. ---------------------------------------------------------------------------------
  3825. ---------------------------------------------------------------------------------
  3826. Finished Handling Custom Attributes : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 2896.672 ; gain = 107.652 ; free physical = 30570 ; free virtual = 60173
  3827. ---------------------------------------------------------------------------------
  3828. ---------------------------------------------------------------------------------
  3829. Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 2896.672 ; gain = 107.652 ; free physical = 30570 ; free virtual = 60173
  3830. ---------------------------------------------------------------------------------
  3831. Netlist sorting complete. Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.15 . Memory (MB): peak = 2896.672 ; gain = 0.000 ; free physical = 30551 ; free virtual = 60154
  3832. WARNING: [Netlist 29-345] The value of SIM_DEVICE on instance 'pcie_support/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1' of type 'BUFGCTRL' is 'ULTRASCALE'; it is being changed to match the current FPGA architecture, '7SERIES'. For functional simulation to match hardware behavior, the value of SIM_DEVICE should be changed in the source netlist.
  3833. INFO: [Netlist 29-17] Analyzing 63 Unisim elements for replacement
  3834. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  3835. INFO: [Project 1-570] Preparing netlist for logic optimization
  3836.  
  3837. Processing XDC Constraints
  3838. Initializing timing engine
  3839. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7/pcie_s7_in_context.xdc] for cell 'pcie_support/pcie_i'
  3840. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7/pcie_s7_in_context.xdc] for cell 'pcie_support/pcie_i'
  3841. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc]
  3842. INFO: [Timing 38-2] Deriving generated clocks [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:385]
  3843. WARNING: [Vivado 12-3521] Clock specified in more than one group: crg_clkout0 [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:385]
  3844. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc]
  3845. INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/sqrl_acorn_propImpl.xdc].
  3846. Resolution: To avoid this warning, move constraints listed in [.Xil/sqrl_acorn_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
  3847. Completed Processing XDC Constraints
  3848.  
  3849. Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3028.438 ; gain = 0.000 ; free physical = 30454 ; free virtual = 60058
  3850. INFO: [Project 1-111] Unisim Transformation Summary:
  3851. A total of 27 instances were transformed.
  3852. FD => FDRE: 8 instances
  3853. IOBUF => IOBUF (IBUF, OBUFT): 16 instances
  3854. IOBUFDS => IOBUFDS (IBUFDS, INV, OBUFTDS(x2)): 2 instances
  3855. OBUFDS => OBUFDS_DUAL_BUF (INV, OBUFDS(x2)): 1 instance
  3856.  
  3857. Constraint Validation Runtime : Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3028.438 ; gain = 0.000 ; free physical = 30454 ; free virtual = 60058
  3858. ---------------------------------------------------------------------------------
  3859. Finished Constraint Validation : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30567 ; free virtual = 60171
  3860. ---------------------------------------------------------------------------------
  3861. ---------------------------------------------------------------------------------
  3862. Start Loading Part and Timing Information
  3863. ---------------------------------------------------------------------------------
  3864. Loading part: xc7a200tfbg484-2
  3865. ---------------------------------------------------------------------------------
  3866. Finished Loading Part and Timing Information : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30567 ; free virtual = 60171
  3867. ---------------------------------------------------------------------------------
  3868. ---------------------------------------------------------------------------------
  3869. Start Applying 'set_property' XDC Constraints
  3870. ---------------------------------------------------------------------------------
  3871. Applied set_property KEEP_HIERARCHY = SOFT for pcie_support/pcie_i. (constraint file auto generated constraint).
  3872. ---------------------------------------------------------------------------------
  3873. Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30567 ; free virtual = 60171
  3874. ---------------------------------------------------------------------------------
  3875. INFO: [Synth 8-802] inferred FSM for state register 'subfragments_refresher_state_reg' in module 'sqrl_acorn'
  3876. INFO: [Synth 8-802] inferred FSM for state register 'subfragments_litepcietlpdepacketizer_state_reg' in module 'sqrl_acorn'
  3877. INFO: [Synth 8-802] inferred FSM for state register 'subfragments_fsm0_state_reg' in module 'sqrl_acorn'
  3878. INFO: [Synth 8-802] inferred FSM for state register 'subfragments_fsm1_state_reg' in module 'sqrl_acorn'
  3879. INFO: [Synth 8-802] inferred FSM for state register 'subfragments_litepciedmawriter_bufferizeendpoints_state_reg' in module 'sqrl_acorn'
  3880. INFO: [Synth 8-802] inferred FSM for state register 'subfragments_litepciedmareader_bufferizeendpoints_state_reg' in module 'sqrl_acorn'
  3881. INFO: [Synth 8-802] inferred FSM for state register 'subfragments_s7spiflash_state_reg' in module 'sqrl_acorn'
  3882. INFO: [Synth 8-802] inferred FSM for state register 'basesoc_state_reg' in module 'sqrl_acorn'
  3883. ---------------------------------------------------------------------------------------------------
  3884. State | New Encoding | Previous Encoding
  3885. ---------------------------------------------------------------------------------------------------
  3886. iSTATE | 001 | 00
  3887. *
  3888. iSTATE0 | 010 | 01
  3889. iSTATE1 | 100 | 10
  3890. ---------------------------------------------------------------------------------------------------
  3891. INFO: [Synth 8-3354] encoded FSM with state register 'subfragments_litepciedmareader_bufferizeendpoints_state_reg' using encoding 'one-hot' in module 'sqrl_acorn'
  3892. ---------------------------------------------------------------------------------------------------
  3893. State | New Encoding | Previous Encoding
  3894. ---------------------------------------------------------------------------------------------------
  3895. iSTATE1 | 00 | 00
  3896. *
  3897. iSTATE | 01 | 01
  3898. iSTATE0 | 10 | 10
  3899. ---------------------------------------------------------------------------------------------------
  3900. INFO: [Synth 8-3354] encoded FSM with state register 'subfragments_fsm1_state_reg' using encoding 'sequential' in module 'sqrl_acorn'
  3901. ---------------------------------------------------------------------------------------------------
  3902. State | New Encoding | Previous Encoding
  3903. ---------------------------------------------------------------------------------------------------
  3904. iSTATE | 001 | 00
  3905. *
  3906. iSTATE0 | 010 | 01
  3907. iSTATE1 | 100 | 10
  3908. ---------------------------------------------------------------------------------------------------
  3909. INFO: [Synth 8-3354] encoded FSM with state register 'subfragments_litepciedmawriter_bufferizeendpoints_state_reg' using encoding 'one-hot' in module 'sqrl_acorn'
  3910. ---------------------------------------------------------------------------------------------------
  3911. State | New Encoding | Previous Encoding
  3912. ---------------------------------------------------------------------------------------------------
  3913. iSTATE | 0 | 00
  3914. *
  3915. iSTATE1 | 1 | 10
  3916. ---------------------------------------------------------------------------------------------------
  3917. INFO: [Synth 8-3354] encoded FSM with state register 'subfragments_fsm0_state_reg' using encoding 'sequential' in module 'sqrl_acorn'
  3918. ---------------------------------------------------------------------------------------------------
  3919. State | New Encoding | Previous Encoding
  3920. ---------------------------------------------------------------------------------------------------
  3921. iSTATE1 | 00 | 00
  3922. *
  3923. iSTATE | 01 | 01
  3924. iSTATE0 | 10 | 10
  3925. iSTATE2 | 11 | 11
  3926. ---------------------------------------------------------------------------------------------------
  3927. INFO: [Synth 8-3354] encoded FSM with state register 'subfragments_refresher_state_reg' using encoding 'sequential' in module 'sqrl_acorn'
  3928. ---------------------------------------------------------------------------------------------------
  3929. State | New Encoding | Previous Encoding
  3930. ---------------------------------------------------------------------------------------------------
  3931. iSTATE | 00 | 00
  3932. *
  3933. iSTATE0 | 01 | 01
  3934. iSTATE1 | 10 | 10
  3935. ---------------------------------------------------------------------------------------------------
  3936. INFO: [Synth 8-3354] encoded FSM with state register 'subfragments_litepcietlpdepacketizer_state_reg' using encoding 'sequential' in module 'sqrl_acorn'
  3937. ---------------------------------------------------------------------------------------------------
  3938. State | New Encoding | Previous Encoding
  3939. ---------------------------------------------------------------------------------------------------
  3940. iSTATE1 | 00 | 00
  3941. *
  3942. iSTATE | 01 | 01
  3943. iSTATE0 | 10 | 10
  3944. iSTATE2 | 11 | 11
  3945. ---------------------------------------------------------------------------------------------------
  3946. INFO: [Synth 8-3354] encoded FSM with state register 'subfragments_s7spiflash_state_reg' using encoding 'sequential' in module 'sqrl_acorn'
  3947. ---------------------------------------------------------------------------------------------------
  3948. State | New Encoding | Previous Encoding
  3949. ---------------------------------------------------------------------------------------------------
  3950. iSTATE | 001 | 00
  3951. *
  3952. iSTATE0 | 010 | 01
  3953. iSTATE1 | 100 | 10
  3954. ---------------------------------------------------------------------------------------------------
  3955. INFO: [Synth 8-3354] encoded FSM with state register 'basesoc_state_reg' using encoding 'one-hot' in module 'sqrl_acorn'
  3956. ---------------------------------------------------------------------------------
  3957. Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30559 ; free virtual = 60165
  3958. ---------------------------------------------------------------------------------
  3959. ---------------------------------------------------------------------------------
  3960. Start RTL Component Statistics
  3961. ---------------------------------------------------------------------------------
  3962. Detailed RTL Component Info :
  3963. +---Adders :
  3964. 2 Input 64 Bit Adders := 1
  3965. 3 Input 52 Bit Adders := 1
  3966. 2 Input 33 Bit Adders := 1
  3967. 3 Input 33 Bit Adders := 1
  3968. 3 Input 32 Bit Adders := 3
  3969. 2 Input 32 Bit Adders := 11
  3970. 2 Input 30 Bit Adders := 1
  3971. 3 Input 24 Bit Adders := 2
  3972. 2 Input 20 Bit Adders := 1
  3973. 2 Input 13 Bit Adders := 1
  3974. 4 Input 11 Bit Adders := 1
  3975. 2 Input 11 Bit Adders := 1
  3976. 2 Input 10 Bit Adders := 4
  3977. 2 Input 9 Bit Adders := 2
  3978. 2 Input 8 Bit Adders := 21
  3979. 2 Input 7 Bit Adders := 32
  3980. 2 Input 6 Bit Adders := 5
  3981. 2 Input 5 Bit Adders := 5
  3982. 2 Input 4 Bit Adders := 24
  3983. 2 Input 3 Bit Adders := 92
  3984. 5 Input 3 Bit Adders := 1
  3985. 2 Input 1 Bit Adders := 4
  3986. +---XORs :
  3987. 2 Input 32 Bit XORs := 1
  3988. 2 Input 3 Bit XORs := 6
  3989. 2 Input 1 Bit XORs := 3
  3990. +---Registers :
  3991. 230 Bit Registers := 8
  3992. 130 Bit Registers := 2
  3993. 129 Bit Registers := 1
  3994. 128 Bit Registers := 8
  3995. 65 Bit Registers := 1
  3996. 64 Bit Registers := 1
  3997. 58 Bit Registers := 2
  3998. 52 Bit Registers := 1
  3999. 40 Bit Registers := 4
  4000. 34 Bit Registers := 1
  4001. 33 Bit Registers := 1
  4002. 32 Bit Registers := 80
  4003. 30 Bit Registers := 4
  4004. 24 Bit Registers := 4
  4005. 23 Bit Registers := 8
  4006. 22 Bit Registers := 2
  4007. 19 Bit Registers := 1
  4008. 17 Bit Registers := 1
  4009. 16 Bit Registers := 70
  4010. 14 Bit Registers := 1
  4011. 13 Bit Registers := 1
  4012. 11 Bit Registers := 3
  4013. 10 Bit Registers := 5
  4014. 9 Bit Registers := 19
  4015. 8 Bit Registers := 33
  4016. 7 Bit Registers := 23
  4017. 6 Bit Registers := 9
  4018. 5 Bit Registers := 9
  4019. 4 Bit Registers := 45
  4020. 3 Bit Registers := 101
  4021. 2 Bit Registers := 29
  4022. 1 Bit Registers := 342
  4023. +---RAMs :
  4024. 130K Bit (1024 X 130 bit) RAMs := 1
  4025. 64K Bit (2048 X 32 bit) RAMs := 1
  4026. 32K Bit (1024 X 32 bit) RAMs := 1
  4027. 28K Bit (128 X 230 bit) RAMs := 8
  4028. 16K Bit (256 X 65 bit) RAMs := 2
  4029. 16K Bit (128 X 129 bit) RAMs := 1
  4030. 12K Bit (512 X 24 bit) RAMs := 1
  4031. 8K Bit (1024 X 8 bit) RAMs := 4
  4032. 8K Bit (64 X 130 bit) RAMs := 1
  4033. 4K Bit (512 X 8 bit) RAMs := 16
  4034. 2K Bit (128 X 22 bit) RAMs := 2
  4035. 1024 Bit (32 X 32 bit) RAMs := 1
  4036. 584 Bit (4 X 146 bit) RAMs := 2
  4037. 192 Bit (8 X 24 bit) RAMs := 8
  4038. 168 Bit (8 X 21 bit) RAMs := 1
  4039. 160 Bit (16 X 10 bit) RAMs := 3
  4040. 40 Bit (8 X 5 bit) RAMs := 1
  4041. 32 Bit (4 X 8 bit) RAMs := 1
  4042. +---ROMs :
  4043. ROMs := 1
  4044. +---Muxes :
  4045. 2 Input 128 Bit Muxes := 21
  4046. 8 Input 128 Bit Muxes := 8
  4047. 3 Input 128 Bit Muxes := 2
  4048. 2 Input 96 Bit Muxes := 1
  4049. 2 Input 40 Bit Muxes := 1
  4050. 2 Input 33 Bit Muxes := 3
  4051. 2 Input 32 Bit Muxes := 112
  4052. 3 Input 32 Bit Muxes := 12
  4053. 4 Input 32 Bit Muxes := 7
  4054. 13 Input 32 Bit Muxes := 1
  4055. 2 Input 30 Bit Muxes := 2
  4056. 2 Input 25 Bit Muxes := 1
  4057. 2 Input 24 Bit Muxes := 4
  4058. 3 Input 24 Bit Muxes := 2
  4059. 2 Input 16 Bit Muxes := 38
  4060. 7 Input 16 Bit Muxes := 2
  4061. 8 Input 16 Bit Muxes := 16
  4062. 4 Input 16 Bit Muxes := 3
  4063. 3 Input 16 Bit Muxes := 4
  4064. 2 Input 14 Bit Muxes := 1
  4065. 3 Input 14 Bit Muxes := 1
  4066. 2 Input 11 Bit Muxes := 2
  4067. 3 Input 11 Bit Muxes := 2
  4068. 2 Input 10 Bit Muxes := 10
  4069. 8 Input 10 Bit Muxes := 8
  4070. 4 Input 8 Bit Muxes := 1
  4071. 2 Input 8 Bit Muxes := 9
  4072. 3 Input 8 Bit Muxes := 1
  4073. 2 Input 7 Bit Muxes := 13
  4074. 8 Input 7 Bit Muxes := 8
  4075. 2 Input 6 Bit Muxes := 4
  4076. 4 Input 6 Bit Muxes := 1
  4077. 2 Input 5 Bit Muxes := 8
  4078. 4 Input 4 Bit Muxes := 3
  4079. 2 Input 4 Bit Muxes := 25
  4080. 5 Input 4 Bit Muxes := 1
  4081. 6 Input 4 Bit Muxes := 1
  4082. 3 Input 4 Bit Muxes := 1
  4083. 9 Input 4 Bit Muxes := 8
  4084. 2 Input 3 Bit Muxes := 59
  4085. 3 Input 3 Bit Muxes := 9
  4086. 8 Input 3 Bit Muxes := 4
  4087. 6 Input 3 Bit Muxes := 2
  4088. 7 Input 3 Bit Muxes := 12
  4089. 4 Input 3 Bit Muxes := 1
  4090. 2 Input 2 Bit Muxes := 61
  4091. 8 Input 2 Bit Muxes := 2
  4092. 3 Input 2 Bit Muxes := 14
  4093. 4 Input 2 Bit Muxes := 3
  4094. 6 Input 2 Bit Muxes := 2
  4095. 5 Input 2 Bit Muxes := 1
  4096. 13 Input 2 Bit Muxes := 4
  4097. 2 Input 1 Bit Muxes := 462
  4098. 3 Input 1 Bit Muxes := 49
  4099. 4 Input 1 Bit Muxes := 34
  4100. 7 Input 1 Bit Muxes := 18
  4101. 8 Input 1 Bit Muxes := 49
  4102. 11 Input 1 Bit Muxes := 5
  4103. 9 Input 1 Bit Muxes := 80
  4104. 13 Input 1 Bit Muxes := 2
  4105. ---------------------------------------------------------------------------------
  4106. Finished RTL Component Statistics
  4107. ---------------------------------------------------------------------------------
  4108. ---------------------------------------------------------------------------------
  4109. Start Part Resource Summary
  4110. ---------------------------------------------------------------------------------
  4111. Part Resources:
  4112. DSPs: 740 (col length:100)
  4113. BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
  4114. ---------------------------------------------------------------------------------
  4115. Finished Part Resource Summary
  4116. ---------------------------------------------------------------------------------
  4117. ---------------------------------------------------------------------------------
  4118. Start Cross Boundary and Area Optimization
  4119. ---------------------------------------------------------------------------------
  4120. DSP Report: Generating DSP memory_to_writeBack_MUL_HH_reg, operation Mode is: (A*B)'.
  4121. DSP Report: register memory_to_writeBack_MUL_HH_reg is absorbed into DSP memory_to_writeBack_MUL_HH_reg.
  4122. DSP Report: register execute_to_memory_MUL_HH_reg is absorbed into DSP memory_to_writeBack_MUL_HH_reg.
  4123. DSP Report: operator execute_MUL_HH is absorbed into DSP memory_to_writeBack_MUL_HH_reg.
  4124. DSP Report: Generating DSP execute_to_memory_MUL_LH_reg, operation Mode is: (A*B)'.
  4125. DSP Report: register execute_to_memory_MUL_LH_reg is absorbed into DSP execute_to_memory_MUL_LH_reg.
  4126. DSP Report: operator execute_MUL_LH is absorbed into DSP execute_to_memory_MUL_LH_reg.
  4127. DSP Report: Generating DSP execute_to_memory_MUL_HL_reg, operation Mode is: (A*B)'.
  4128. DSP Report: register execute_to_memory_MUL_HL_reg is absorbed into DSP execute_to_memory_MUL_HL_reg.
  4129. DSP Report: operator execute_MUL_HL is absorbed into DSP execute_to_memory_MUL_HL_reg.
  4130. DSP Report: Generating DSP execute_to_memory_MUL_LL_reg, operation Mode is: (A*B)'.
  4131. DSP Report: register execute_to_memory_MUL_LL_reg is absorbed into DSP execute_to_memory_MUL_LL_reg.
  4132. DSP Report: operator execute_MUL_LL is absorbed into DSP execute_to_memory_MUL_LL_reg.
  4133. INFO: [Synth 8-3971] The signal "VexRiscv/RegFilePlugin_regFile_reg" was recognized as a true dual port RAM template.
  4134. INFO: [Synth 8-5784] Optimized 49 bits of RAM "storage_22_reg" due to constant propagation. Old ram width 230 bits, new ram width 181 bits.
  4135. INFO: [Synth 8-5784] Optimized 48 bits of RAM "storage_23_reg" due to constant propagation. Old ram width 230 bits, new ram width 182 bits.
  4136. INFO: [Synth 8-5784] Optimized 48 bits of RAM "storage_24_reg" due to constant propagation. Old ram width 230 bits, new ram width 182 bits.
  4137. INFO: [Synth 8-5784] Optimized 47 bits of RAM "storage_25_reg" due to constant propagation. Old ram width 230 bits, new ram width 183 bits.
  4138. INFO: [Synth 8-5784] Optimized 48 bits of RAM "storage_26_reg" due to constant propagation. Old ram width 230 bits, new ram width 182 bits.
  4139. INFO: [Synth 8-5784] Optimized 47 bits of RAM "storage_27_reg" due to constant propagation. Old ram width 230 bits, new ram width 183 bits.
  4140. INFO: [Synth 8-5784] Optimized 47 bits of RAM "storage_28_reg" due to constant propagation. Old ram width 230 bits, new ram width 183 bits.
  4141. INFO: [Synth 8-5784] Optimized 46 bits of RAM "storage_29_reg" due to constant propagation. Old ram width 230 bits, new ram width 184 bits.
  4142. INFO: [Synth 8-5784] Optimized 1 bits of RAM "storage_17_reg" due to constant propagation. Old ram width 130 bits, new ram width 129 bits.
  4143. RAM Pipeline Warning: Read Address Register Found For RAM tag_mem_reg. We will not be able to pipeline it. This may degrade performance.
  4144. INFO: [Synth 8-5784] Optimized 4 bits of RAM "tag_mem_reg" due to constant propagation. Old ram width 24 bits, new ram width 20 bits.
  4145. RAM Pipeline Warning: Read Address Register Found For RAM tag_mem_reg. We will not be able to pipeline it. This may degrade performance.
  4146. RAM Pipeline Warning: Read Address Register Found For RAM mem_1_reg. We will not be able to pipeline it. This may degrade performance.
  4147. RAM Pipeline Warning: Read Address Register Found For RAM mem_1_reg. We will not be able to pipeline it. This may degrade performance.
  4148. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain0_reg. We will not be able to pipeline it. This may degrade performance.
  4149. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain0_reg. We will not be able to pipeline it. This may degrade performance.
  4150. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain1_reg. We will not be able to pipeline it. This may degrade performance.
  4151. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain1_reg. We will not be able to pipeline it. This may degrade performance.
  4152. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain2_reg. We will not be able to pipeline it. This may degrade performance.
  4153. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain2_reg. We will not be able to pipeline it. This may degrade performance.
  4154. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain3_reg. We will not be able to pipeline it. This may degrade performance.
  4155. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain3_reg. We will not be able to pipeline it. This may degrade performance.
  4156. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain4_reg. We will not be able to pipeline it. This may degrade performance.
  4157. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain4_reg. We will not be able to pipeline it. This may degrade performance.
  4158. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain5_reg. We will not be able to pipeline it. This may degrade performance.
  4159. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain5_reg. We will not be able to pipeline it. This may degrade performance.
  4160. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain6_reg. We will not be able to pipeline it. This may degrade performance.
  4161. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain6_reg. We will not be able to pipeline it. This may degrade performance.
  4162. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain7_reg. We will not be able to pipeline it. This may degrade performance.
  4163. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain7_reg. We will not be able to pipeline it. This may degrade performance.
  4164. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain8_reg. We will not be able to pipeline it. This may degrade performance.
  4165. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain8_reg. We will not be able to pipeline it. This may degrade performance.
  4166. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain9_reg. We will not be able to pipeline it. This may degrade performance.
  4167. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain9_reg. We will not be able to pipeline it. This may degrade performance.
  4168. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain10_reg. We will not be able to pipeline it. This may degrade performance.
  4169. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain10_reg. We will not be able to pipeline it. This may degrade performance.
  4170. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain11_reg. We will not be able to pipeline it. This may degrade performance.
  4171. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain11_reg. We will not be able to pipeline it. This may degrade performance.
  4172. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain12_reg. We will not be able to pipeline it. This may degrade performance.
  4173. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain12_reg. We will not be able to pipeline it. This may degrade performance.
  4174. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain13_reg. We will not be able to pipeline it. This may degrade performance.
  4175. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain13_reg. We will not be able to pipeline it. This may degrade performance.
  4176. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain14_reg. We will not be able to pipeline it. This may degrade performance.
  4177. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain14_reg. We will not be able to pipeline it. This may degrade performance.
  4178. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain15_reg. We will not be able to pipeline it. This may degrade performance.
  4179. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain15_reg. We will not be able to pipeline it. This may degrade performance.
  4180. warning: Removed RAM storage_19_reg due to inactive write enable
  4181. RAM Pipeline Warning: Read Address Register Found For RAM tag_mem_reg. We will not be able to pipeline it. This may degrade performance.
  4182. RAM Pipeline Warning: Read Address Register Found For RAM mem_1_reg. We will not be able to pipeline it. This may degrade performance.
  4183. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain0_reg. We will not be able to pipeline it. This may degrade performance.
  4184. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain1_reg. We will not be able to pipeline it. This may degrade performance.
  4185. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain2_reg. We will not be able to pipeline it. This may degrade performance.
  4186. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain3_reg. We will not be able to pipeline it. This may degrade performance.
  4187. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain4_reg. We will not be able to pipeline it. This may degrade performance.
  4188. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain5_reg. We will not be able to pipeline it. This may degrade performance.
  4189. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain6_reg. We will not be able to pipeline it. This may degrade performance.
  4190. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain7_reg. We will not be able to pipeline it. This may degrade performance.
  4191. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain8_reg. We will not be able to pipeline it. This may degrade performance.
  4192. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain9_reg. We will not be able to pipeline it. This may degrade performance.
  4193. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain10_reg. We will not be able to pipeline it. This may degrade performance.
  4194. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain11_reg. We will not be able to pipeline it. This may degrade performance.
  4195. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain12_reg. We will not be able to pipeline it. This may degrade performance.
  4196. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain13_reg. We will not be able to pipeline it. This may degrade performance.
  4197. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain14_reg. We will not be able to pipeline it. This may degrade performance.
  4198. RAM Pipeline Warning: Read Address Register Found For RAM data_mem_grain15_reg. We will not be able to pipeline it. This may degrade performance.
  4199. ---------------------------------------------------------------------------------
  4200. Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:58 ; elapsed = 00:01:00 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30513 ; free virtual = 60140
  4201. ---------------------------------------------------------------------------------
  4202. ---------------------------------------------------------------------------------
  4203. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  4204. ---------------------------------------------------------------------------------
  4205.  
  4206. ROM: Preliminary Mapping Report
  4207. +------------+------------+---------------+----------------+
  4208. |Module Name | RTL Object | Depth x Width | Implemented As |
  4209. +------------+------------+---------------+----------------+
  4210. |sqrl_acorn | p_0_out | 64x8 | LUT |
  4211. |sqrl_acorn | memdat_reg | 8192x32 | Block RAM |
  4212. |sqrl_acorn | p_0_out | 64x8 | LUT |
  4213. |sqrl_acorn | memdat_reg | 8192x32 | Block RAM |
  4214. +------------+------------+---------------+----------------+
  4215.  
  4216.  
  4217. Block RAM: Preliminary Mapping Report (see note below)
  4218. +--------------------------------+-------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+
  4219. |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 |
  4220. +--------------------------------+-------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+
  4221. |VexRiscv/IBusCachedPlugin_cache | banks_0_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 |
  4222. |VexRiscv/IBusCachedPlugin_cache | ways_0_tags_reg | 128 x 22(READ_FIRST) | W | | 128 x 22(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4223. |VexRiscv/dataCache_1 | ways_0_tags_reg | 128 x 22(READ_FIRST) | W | | 128 x 22(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4224. |VexRiscv/dataCache_1 | ways_0_data_symbol0_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4225. |VexRiscv/dataCache_1 | ways_0_data_symbol1_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4226. |VexRiscv/dataCache_1 | ways_0_data_symbol2_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4227. |VexRiscv/dataCache_1 | ways_0_data_symbol3_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4228. |sqrl_acorn | storage_22_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4229. |sqrl_acorn | storage_23_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4230. |sqrl_acorn | storage_24_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4231. |sqrl_acorn | storage_25_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4232. |sqrl_acorn | storage_26_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4233. |sqrl_acorn | storage_27_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4234. |sqrl_acorn | storage_28_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4235. |sqrl_acorn | storage_29_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4236. |sqrl_acorn | storage_17_reg | 1 K x 130(READ_FIRST) | W | | 1 K x 130(WRITE_FIRST) | | R | Port A and B | 0 | 4 |
  4237. |sqrl_acorn | storage_15_reg | 128 x 129(READ_FIRST) | W | | 128 x 129(WRITE_FIRST) | | R | Port A and B | 0 | 2 |
  4238. |sqrl_acorn | tag_mem_reg | 512 x 24(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4239. |sqrl_acorn | mem_1_reg | 2 K x 32(WRITE_FIRST) | W | R | | | | Port A | 0 | 2 |
  4240. |sqrl_acorn | data_mem_grain0_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4241. |sqrl_acorn | data_mem_grain1_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4242. |sqrl_acorn | data_mem_grain2_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4243. |sqrl_acorn | data_mem_grain3_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4244. |sqrl_acorn | data_mem_grain4_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4245. |sqrl_acorn | data_mem_grain5_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4246. |sqrl_acorn | data_mem_grain6_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4247. |sqrl_acorn | data_mem_grain7_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4248. |sqrl_acorn | data_mem_grain8_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4249. |sqrl_acorn | data_mem_grain9_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4250. |sqrl_acorn | data_mem_grain10_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4251. |sqrl_acorn | data_mem_grain11_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4252. |sqrl_acorn | data_mem_grain12_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4253. |sqrl_acorn | data_mem_grain13_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4254. |sqrl_acorn | data_mem_grain14_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4255. |sqrl_acorn | data_mem_grain15_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4256. +--------------------------------+-------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+
  4257.  
  4258. Note: The table above is a preliminary report that shows the Block RAMs at the current stage of the synthesis flow. Some Block RAMs may be reimplemented as non Block RAM primitives later in the synthesis flow. Multiple instantiated Block RAMs are reported only once.
  4259.  
  4260. Distributed RAM: Preliminary Mapping Report (see note below)
  4261. +------------+----------------+-----------+----------------------+---------------------------+
  4262. |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives |
  4263. +------------+----------------+-----------+----------------------+---------------------------+
  4264. |sqrl_acorn | storage_12_reg | Implied | 4 x 146 | RAM32M x 25 |
  4265. |sqrl_acorn | storage_16_reg | Implied | 256 x 65 | RAM64X1D x 8 RAM64M x 84 |
  4266. |sqrl_acorn | storage_20_reg | Implied | 8 x 3 | RAM32M x 1 |
  4267. |sqrl_acorn | storage_21_reg | Implied | 8 x 21 | RAM32M x 4 |
  4268. |sqrl_acorn | storage_14_reg | Implied | 256 x 65 | RAM64X1D x 8 RAM64M x 84 |
  4269. |sqrl_acorn | storage_5_reg | Implied | 8 x 24 | RAM32M x 4 |
  4270. |sqrl_acorn | storage_3_reg | Implied | 8 x 24 | RAM32M x 4 |
  4271. |sqrl_acorn | storage_4_reg | Implied | 8 x 24 | RAM32M x 4 |
  4272. |sqrl_acorn | storage_9_reg | Implied | 8 x 24 | RAM32M x 4 |
  4273. |sqrl_acorn | storage_8_reg | Implied | 8 x 24 | RAM32M x 4 |
  4274. |sqrl_acorn | storage_7_reg | Implied | 8 x 24 | RAM32M x 4 |
  4275. |sqrl_acorn | storage_6_reg | Implied | 8 x 24 | RAM32M x 4 |
  4276. |sqrl_acorn | storage_10_reg | Implied | 8 x 24 | RAM32M x 4 |
  4277. |sqrl_acorn | storage_1_reg | Implied | 16 x 10 | RAM32M x 2 |
  4278. |sqrl_acorn | storage_reg | Implied | 16 x 8 | RAM32M x 2 |
  4279. |sqrl_acorn | storage_2_reg | Implied | 16 x 10 | RAM32M x 2 |
  4280. |sqrl_acorn | storage_11_reg | Implied | 4 x 146 | RAM32M x 25 |
  4281. +------------+----------------+-----------+----------------------+---------------------------+
  4282.  
  4283. Note: The table above is a preliminary report that shows the Distributed RAMs at the current stage of the synthesis flow. Some Distributed RAMs may be reimplemented as non Distributed RAM primitives later in the synthesis flow. Multiple instantiated RAMs are reported only once.
  4284.  
  4285. DSP: Preliminary Mapping Report (see note below)
  4286. +------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  4287. |Module Name | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG |
  4288. +------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  4289. |VexRiscv | (A*B)' | 17 | 17 | - | - | 34 | 0 | 0 | - | - | - | 1 | 1 |
  4290. |VexRiscv | (A*B)' | 17 | 17 | - | - | 34 | 0 | 0 | - | - | - | 1 | 0 |
  4291. |VexRiscv | (A*B)' | 17 | 17 | - | - | 34 | 0 | 0 | - | - | - | 1 | 0 |
  4292. |VexRiscv | (A*B)' | 16 | 16 | - | - | 32 | 0 | 0 | - | - | - | 1 | 0 |
  4293. +------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
  4294.  
  4295. Note: The table above is a preliminary report that shows the DSPs inferred at the current stage of the synthesis flow. Some DSP may be reimplemented as non DSP primitives later in the synthesis flow. Multiple instantiated DSPs are reported only once.
  4296. ---------------------------------------------------------------------------------
  4297. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  4298. ---------------------------------------------------------------------------------
  4299. ---------------------------------------------------------------------------------
  4300. Start Applying XDC Timing Constraints
  4301. ---------------------------------------------------------------------------------
  4302. crg_clkout0 in more then one group at line 385 of file /home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc
  4303. ---------------------------------------------------------------------------------
  4304. Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30449 ; free virtual = 60075
  4305. ---------------------------------------------------------------------------------
  4306. ---------------------------------------------------------------------------------
  4307. Start Timing Optimization
  4308. ---------------------------------------------------------------------------------
  4309. ---------------------------------------------------------------------------------
  4310. Finished Timing Optimization : Time (s): cpu = 00:01:06 ; elapsed = 00:01:08 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30424 ; free virtual = 60050
  4311. ---------------------------------------------------------------------------------
  4312. ---------------------------------------------------------------------------------
  4313. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  4314. ---------------------------------------------------------------------------------
  4315.  
  4316. Block RAM: Final Mapping Report
  4317. +--------------------------------+-------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+
  4318. |Module Name | RTL Object | PORT A (Depth x Width) | W | R | PORT B (Depth x Width) | W | R | Ports driving FF | RAMB18 | RAMB36 |
  4319. +--------------------------------+-------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+
  4320. |VexRiscv/IBusCachedPlugin_cache | banks_0_reg | 1 K x 32(READ_FIRST) | W | | 1 K x 32(WRITE_FIRST) | | R | Port A and B | 0 | 1 |
  4321. |VexRiscv/IBusCachedPlugin_cache | ways_0_tags_reg | 128 x 22(READ_FIRST) | W | | 128 x 22(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4322. |VexRiscv/dataCache_1 | ways_0_tags_reg | 128 x 22(READ_FIRST) | W | | 128 x 22(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4323. |VexRiscv/dataCache_1 | ways_0_data_symbol0_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4324. |VexRiscv/dataCache_1 | ways_0_data_symbol1_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4325. |VexRiscv/dataCache_1 | ways_0_data_symbol2_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4326. |VexRiscv/dataCache_1 | ways_0_data_symbol3_reg | 1 K x 8(READ_FIRST) | W | | 1 K x 8(WRITE_FIRST) | | R | Port A and B | 1 | 0 |
  4327. |sqrl_acorn | storage_22_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4328. |sqrl_acorn | storage_23_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4329. |sqrl_acorn | storage_24_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4330. |sqrl_acorn | storage_25_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4331. |sqrl_acorn | storage_26_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4332. |sqrl_acorn | storage_27_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4333. |sqrl_acorn | storage_28_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4334. |sqrl_acorn | storage_29_reg | 128 x 230(READ_FIRST) | W | | 128 x 230(WRITE_FIRST) | | R | Port A and B | 0 | 3 |
  4335. |sqrl_acorn | storage_17_reg | 1 K x 130(READ_FIRST) | W | | 1 K x 130(WRITE_FIRST) | | R | Port A and B | 0 | 4 |
  4336. |sqrl_acorn | storage_15_reg | 128 x 129(READ_FIRST) | W | | 128 x 129(WRITE_FIRST) | | R | Port A and B | 0 | 2 |
  4337. |sqrl_acorn | tag_mem_reg | 512 x 24(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4338. |sqrl_acorn | mem_1_reg | 2 K x 32(WRITE_FIRST) | W | R | | | | Port A | 0 | 2 |
  4339. |sqrl_acorn | data_mem_grain0_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4340. |sqrl_acorn | data_mem_grain1_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4341. |sqrl_acorn | data_mem_grain2_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4342. |sqrl_acorn | data_mem_grain3_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4343. |sqrl_acorn | data_mem_grain4_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4344. |sqrl_acorn | data_mem_grain5_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4345. |sqrl_acorn | data_mem_grain6_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4346. |sqrl_acorn | data_mem_grain7_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4347. |sqrl_acorn | data_mem_grain8_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4348. |sqrl_acorn | data_mem_grain9_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4349. |sqrl_acorn | data_mem_grain10_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4350. |sqrl_acorn | data_mem_grain11_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4351. |sqrl_acorn | data_mem_grain12_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4352. |sqrl_acorn | data_mem_grain13_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4353. |sqrl_acorn | data_mem_grain14_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4354. |sqrl_acorn | data_mem_grain15_reg | 512 x 8(WRITE_FIRST) | W | R | | | | Port A | 1 | 0 |
  4355. +--------------------------------+-------------------------+------------------------+---+---+------------------------+---+---+------------------+--------+--------+
  4356.  
  4357.  
  4358. Distributed RAM: Final Mapping Report
  4359. +------------+----------------+-----------+----------------------+---------------------------+
  4360. |Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives |
  4361. +------------+----------------+-----------+----------------------+---------------------------+
  4362. |sqrl_acorn | storage_12_reg | Implied | 4 x 146 | RAM32M x 25 |
  4363. |sqrl_acorn | storage_16_reg | Implied | 256 x 65 | RAM64X1D x 8 RAM64M x 84 |
  4364. |sqrl_acorn | storage_20_reg | Implied | 8 x 3 | RAM32M x 1 |
  4365. |sqrl_acorn | storage_21_reg | Implied | 8 x 21 | RAM32M x 4 |
  4366. |sqrl_acorn | storage_14_reg | Implied | 256 x 65 | RAM64X1D x 8 RAM64M x 84 |
  4367. |sqrl_acorn | storage_5_reg | Implied | 8 x 24 | RAM32M x 4 |
  4368. |sqrl_acorn | storage_3_reg | Implied | 8 x 24 | RAM32M x 4 |
  4369. |sqrl_acorn | storage_4_reg | Implied | 8 x 24 | RAM32M x 4 |
  4370. |sqrl_acorn | storage_9_reg | Implied | 8 x 24 | RAM32M x 4 |
  4371. |sqrl_acorn | storage_8_reg | Implied | 8 x 24 | RAM32M x 4 |
  4372. |sqrl_acorn | storage_7_reg | Implied | 8 x 24 | RAM32M x 4 |
  4373. |sqrl_acorn | storage_6_reg | Implied | 8 x 24 | RAM32M x 4 |
  4374. |sqrl_acorn | storage_10_reg | Implied | 8 x 24 | RAM32M x 4 |
  4375. |sqrl_acorn | storage_1_reg | Implied | 16 x 10 | RAM32M x 2 |
  4376. |sqrl_acorn | storage_reg | Implied | 16 x 8 | RAM32M x 2 |
  4377. |sqrl_acorn | storage_2_reg | Implied | 16 x 10 | RAM32M x 2 |
  4378. |sqrl_acorn | storage_11_reg | Implied | 4 x 146 | RAM32M x 25 |
  4379. +------------+----------------+-----------+----------------------+---------------------------+
  4380.  
  4381. ---------------------------------------------------------------------------------
  4382. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  4383. ---------------------------------------------------------------------------------
  4384. ---------------------------------------------------------------------------------
  4385. Start Technology Mapping
  4386. ---------------------------------------------------------------------------------
  4387. INFO: [Synth 8-7052] The timing for the instance VexRiscv/IBusCachedPlugin_cache/banks_0_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4388. INFO: [Synth 8-7052] The timing for the instance VexRiscv/IBusCachedPlugin_cache/ways_0_tags_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4389. INFO: [Synth 8-7052] The timing for the instance VexRiscv/dataCache_1/ways_0_tags_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4390. INFO: [Synth 8-7052] The timing for the instance VexRiscv/RegFilePlugin_regFile_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4391. INFO: [Synth 8-7052] The timing for the instance VexRiscv/RegFilePlugin_regFile_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4392. INFO: [Synth 8-7052] The timing for the instance storage_22_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4393. INFO: [Synth 8-7052] The timing for the instance storage_22_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4394. INFO: [Synth 8-7052] The timing for the instance storage_22_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4395. INFO: [Synth 8-7052] The timing for the instance storage_23_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4396. INFO: [Synth 8-7052] The timing for the instance storage_23_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4397. INFO: [Synth 8-7052] The timing for the instance storage_23_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4398. INFO: [Synth 8-7052] The timing for the instance storage_24_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4399. INFO: [Synth 8-7052] The timing for the instance storage_24_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4400. INFO: [Synth 8-7052] The timing for the instance storage_24_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4401. INFO: [Synth 8-7052] The timing for the instance storage_25_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4402. INFO: [Synth 8-7052] The timing for the instance storage_25_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4403. INFO: [Synth 8-7052] The timing for the instance storage_25_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4404. INFO: [Synth 8-7052] The timing for the instance storage_26_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4405. INFO: [Synth 8-7052] The timing for the instance storage_26_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4406. INFO: [Synth 8-7052] The timing for the instance storage_26_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4407. INFO: [Synth 8-7052] The timing for the instance storage_27_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4408. INFO: [Synth 8-7052] The timing for the instance storage_27_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4409. INFO: [Synth 8-7052] The timing for the instance storage_27_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4410. INFO: [Synth 8-7052] The timing for the instance storage_28_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4411. INFO: [Synth 8-7052] The timing for the instance storage_28_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4412. INFO: [Synth 8-7052] The timing for the instance storage_28_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4413. INFO: [Synth 8-7052] The timing for the instance storage_29_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4414. INFO: [Synth 8-7052] The timing for the instance storage_29_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4415. INFO: [Synth 8-7052] The timing for the instance storage_29_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4416. INFO: [Synth 8-7052] The timing for the instance storage_17_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4417. INFO: [Synth 8-7052] The timing for the instance storage_17_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4418. INFO: [Synth 8-7052] The timing for the instance storage_17_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4419. INFO: [Synth 8-7052] The timing for the instance storage_17_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4420. INFO: [Synth 8-7052] The timing for the instance storage_15_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4421. INFO: [Synth 8-7052] The timing for the instance storage_15_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4422. INFO: [Synth 8-7052] The timing for the instance tag_mem_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4423. INFO: [Synth 8-7052] The timing for the instance tag_mem_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4424. INFO: [Synth 8-7052] The timing for the instance mem_1_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4425. INFO: [Synth 8-7052] The timing for the instance mem_1_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4426. INFO: [Synth 8-7052] The timing for the instance data_mem_grain0_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4427. INFO: [Synth 8-7052] The timing for the instance data_mem_grain1_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4428. INFO: [Synth 8-7052] The timing for the instance data_mem_grain2_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4429. INFO: [Synth 8-7052] The timing for the instance data_mem_grain3_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4430. INFO: [Synth 8-7052] The timing for the instance data_mem_grain4_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4431. INFO: [Synth 8-7052] The timing for the instance data_mem_grain5_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4432. INFO: [Synth 8-7052] The timing for the instance data_mem_grain6_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4433. INFO: [Synth 8-7052] The timing for the instance data_mem_grain7_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4434. INFO: [Synth 8-7052] The timing for the instance data_mem_grain8_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4435. INFO: [Synth 8-7052] The timing for the instance data_mem_grain9_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4436. INFO: [Synth 8-7052] The timing for the instance data_mem_grain10_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4437. INFO: [Synth 8-7052] The timing for the instance data_mem_grain11_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4438. INFO: [Synth 8-7052] The timing for the instance data_mem_grain12_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4439. INFO: [Synth 8-7052] The timing for the instance data_mem_grain13_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4440. INFO: [Synth 8-7052] The timing for the instance data_mem_grain14_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4441. INFO: [Synth 8-7052] The timing for the instance data_mem_grain15_reg (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4442. INFO: [Synth 8-7052] The timing for the instance memdat_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4443. INFO: [Synth 8-7052] The timing for the instance memdat_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4444. INFO: [Synth 8-7052] The timing for the instance memdat_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4445. INFO: [Synth 8-7052] The timing for the instance memdat_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4446. INFO: [Synth 8-7052] The timing for the instance memdat_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4447. INFO: [Synth 8-7052] The timing for the instance memdat_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4448. INFO: [Synth 8-7052] The timing for the instance memdat_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4449. INFO: [Synth 8-7052] The timing for the instance memdat_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing.
  4450. ---------------------------------------------------------------------------------
  4451. Finished Technology Mapping : Time (s): cpu = 00:01:12 ; elapsed = 00:01:15 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30414 ; free virtual = 60041
  4452. ---------------------------------------------------------------------------------
  4453. ---------------------------------------------------------------------------------
  4454. Start IO Insertion
  4455. ---------------------------------------------------------------------------------
  4456. ---------------------------------------------------------------------------------
  4457. Start Flattening Before IO Insertion
  4458. ---------------------------------------------------------------------------------
  4459. ---------------------------------------------------------------------------------
  4460. Finished Flattening Before IO Insertion
  4461. ---------------------------------------------------------------------------------
  4462. ---------------------------------------------------------------------------------
  4463. Start Final Netlist Cleanup
  4464. ---------------------------------------------------------------------------------
  4465. ---------------------------------------------------------------------------------
  4466. Finished Final Netlist Cleanup
  4467. ---------------------------------------------------------------------------------
  4468. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4469. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4470. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4471. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4472. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4473. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4474. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4475. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4476. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4477. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4478. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4479. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4480. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4481. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4482. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4483. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4484. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4485. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4486. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4487. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4488. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4489. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4490. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4491. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12375]
  4492. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4493. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4494. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4495. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4496. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4497. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4498. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4499. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:12686]
  4500. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:5726]
  4501. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4502. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:14861]
  4503. WARNING: [Synth 8-5396] Clock pin CLK has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:14860]
  4504. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:4]
  4505. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16168]
  4506. WARNING: [Synth 8-5396] Clock pin CLK has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16167]
  4507. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16168]
  4508. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16167]
  4509. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16166]
  4510. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16165]
  4511. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16164]
  4512. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16163]
  4513. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16162]
  4514. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:16161]
  4515. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4516. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4517. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4518. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4519. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/pythondata-cpu-vexriscv/pythondata_cpu_vexriscv/verilog/VexRiscv.v:4884]
  4520. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4521. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4522. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4523. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4524. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4525. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4526. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4527. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4528. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4529. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4530. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4531. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4532. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4533. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4534. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4535. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4536. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4537. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4538. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4539. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4540. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4541. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4542. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4543. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4544. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4545. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4546. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4547. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4548. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4549. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4550. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4551. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4552. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4553. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4554. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4555. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4556. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4557. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4558. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4559. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4560. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4561. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4562. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4563. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4564. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4565. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4566. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4567. WARNING: [Synth 8-5396] Clock pin C has keep related attribute (keep/mark_debug/dont_touch) which could create extra logic on its net [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.v:8723]
  4568. INFO: [Common 17-14] Message 'Synth 8-5396' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
  4569. ---------------------------------------------------------------------------------
  4570. Finished IO Insertion : Time (s): cpu = 00:01:15 ; elapsed = 00:01:18 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30413 ; free virtual = 60039
  4571. ---------------------------------------------------------------------------------
  4572. ---------------------------------------------------------------------------------
  4573. Start Renaming Generated Instances
  4574. ---------------------------------------------------------------------------------
  4575. ---------------------------------------------------------------------------------
  4576. Finished Renaming Generated Instances : Time (s): cpu = 00:01:15 ; elapsed = 00:01:18 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30413 ; free virtual = 60039
  4577. ---------------------------------------------------------------------------------
  4578. ---------------------------------------------------------------------------------
  4579. Start Rebuilding User Hierarchy
  4580. ---------------------------------------------------------------------------------
  4581. ---------------------------------------------------------------------------------
  4582. Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:16 ; elapsed = 00:01:19 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30413 ; free virtual = 60039
  4583. ---------------------------------------------------------------------------------
  4584. ---------------------------------------------------------------------------------
  4585. Start Renaming Generated Ports
  4586. ---------------------------------------------------------------------------------
  4587. ---------------------------------------------------------------------------------
  4588. Finished Renaming Generated Ports : Time (s): cpu = 00:01:16 ; elapsed = 00:01:19 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30413 ; free virtual = 60039
  4589. ---------------------------------------------------------------------------------
  4590. ---------------------------------------------------------------------------------
  4591. Start Handling Custom Attributes
  4592. ---------------------------------------------------------------------------------
  4593. ---------------------------------------------------------------------------------
  4594. Finished Handling Custom Attributes : Time (s): cpu = 00:01:17 ; elapsed = 00:01:19 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30412 ; free virtual = 60038
  4595. ---------------------------------------------------------------------------------
  4596. ---------------------------------------------------------------------------------
  4597. Start Renaming Generated Nets
  4598. ---------------------------------------------------------------------------------
  4599. ---------------------------------------------------------------------------------
  4600. Finished Renaming Generated Nets : Time (s): cpu = 00:01:17 ; elapsed = 00:01:19 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30412 ; free virtual = 60038
  4601. ---------------------------------------------------------------------------------
  4602. ---------------------------------------------------------------------------------
  4603. Start ROM, RAM, DSP, Shift Register and Retiming Reporting
  4604. ---------------------------------------------------------------------------------
  4605.  
  4606. Static Shift Register Report:
  4607. +------------+------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
  4608. |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E |
  4609. +------------+------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
  4610. |sqrl_acorn | subfragments_new_master_rdata_valid8_reg | 9 | 1 | YES | NO | YES | 1 | 0 |
  4611. |sqrl_acorn | a7ddrphy_rddata_en_tappeddelayline7_reg | 8 | 1 | YES | NO | YES | 1 | 0 |
  4612. +------------+------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+
  4613.  
  4614. ---------------------------------------------------------------------------------
  4615. Finished ROM, RAM, DSP, Shift Register and Retiming Reporting
  4616. ---------------------------------------------------------------------------------
  4617. ---------------------------------------------------------------------------------
  4618. Start Writing Synthesis Report
  4619. ---------------------------------------------------------------------------------
  4620.  
  4621. Report BlackBoxes:
  4622. +------+--------------+----------+
  4623. | |BlackBox name |Instances |
  4624. +------+--------------+----------+
  4625. |1 |pcie_s7 | 1|
  4626. +------+--------------+----------+
  4627.  
  4628. Report Cell Usage:
  4629. +------+------------+------+
  4630. | |Cell |Count |
  4631. +------+------------+------+
  4632. |1 |pcie_s7 | 1|
  4633. |2 |BUFG | 9|
  4634. |3 |BUFGCTRL | 1|
  4635. |4 |CARRY4 | 288|
  4636. |5 |DSP48E1 | 4|
  4637. |7 |IBUFDS_GTE2 | 1|
  4638. |8 |ICAPE2 | 1|
  4639. |9 |IDELAYCTRL | 1|
  4640. |10 |IDELAYE2 | 16|
  4641. |11 |ISERDESE2 | 16|
  4642. |12 |LUT1 | 326|
  4643. |13 |LUT2 | 1366|
  4644. |14 |LUT3 | 2581|
  4645. |15 |LUT4 | 1035|
  4646. |16 |LUT5 | 1423|
  4647. |17 |LUT6 | 2767|
  4648. |18 |MMCME2_ADV | 1|
  4649. |19 |MUXF7 | 175|
  4650. |20 |MUXF8 | 2|
  4651. |21 |OSERDESE2 | 46|
  4652. |22 |PLLE2_ADV | 1|
  4653. |23 |RAM32M | 91|
  4654. |24 |RAM64M | 156|
  4655. |25 |RAM64X1D | 8|
  4656. |26 |RAMB18E1 | 25|
  4657. |30 |RAMB36E1 | 41|
  4658. |41 |SRL16E | 2|
  4659. |42 |STARTUPE2 | 1|
  4660. |43 |FD | 8|
  4661. |44 |FDPE | 4|
  4662. |45 |FDRE | 6000|
  4663. |46 |FDSE | 233|
  4664. |47 |IBUF | 12|
  4665. |48 |IBUFDS | 1|
  4666. |49 |IOBUF | 16|
  4667. |50 |IOBUFDS | 2|
  4668. |51 |OBUF | 41|
  4669. |52 |OBUFDS | 1|
  4670. +------+------------+------+
  4671. ---------------------------------------------------------------------------------
  4672. Finished Writing Synthesis Report : Time (s): cpu = 00:01:17 ; elapsed = 00:01:19 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30412 ; free virtual = 60038
  4673. ---------------------------------------------------------------------------------
  4674. Synthesis finished with 0 errors, 0 critical warnings and 6137 warnings.
  4675. Synthesis Optimization Runtime : Time (s): cpu = 00:01:13 ; elapsed = 00:01:16 . Memory (MB): peak = 3028.438 ; gain = 107.652 ; free physical = 30463 ; free virtual = 60089
  4676. Synthesis Optimization Complete : Time (s): cpu = 00:01:17 ; elapsed = 00:01:19 . Memory (MB): peak = 3028.438 ; gain = 239.418 ; free physical = 30463 ; free virtual = 60089
  4677. INFO: [Project 1-571] Translating synthesized netlist
  4678. INFO: [Project 1-454] Reading design checkpoint '/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7.dcp' for cell 'pcie_support/pcie_i'
  4679. Netlist sorting complete. Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3028.438 ; gain = 0.000 ; free physical = 30543 ; free virtual = 60170
  4680. WARNING: [Netlist 29-345] The value of SIM_DEVICE on instance 'pcie_support/pipe_clock_i/pclk_i1_bufgctrl.pclk_i1' of type 'BUFGCTRL' is 'ULTRASCALE'; it is being changed to match the current FPGA architecture, '7SERIES'. For functional simulation to match hardware behavior, the value of SIM_DEVICE should be changed in the source netlist.
  4681. INFO: [Netlist 29-17] Analyzing 891 Unisim elements for replacement
  4682. INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
  4683. INFO: [Project 1-479] Netlist was created with Vivado 2020.2
  4684. INFO: [Project 1-570] Preparing netlist for logic optimization
  4685. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc]
  4686. INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:385]
  4687. INFO: [Timing 38-2] Deriving generated clocks [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:385]
  4688. WARNING: [Vivado 12-3521] Clock specified in more than one group: crg_clkout0 [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:385]
  4689. WARNING: [Vivado 12-1008] No clocks found for command 'get_clocks -include_generated_clocks -of [get_nets pcie_clk]'. [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:387]
  4690. Resolution: Verify the create_clock command was called to create the clock object before it is referenced.
  4691. INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks. [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:387]
  4692. CRITICAL WARNING: [Vivado 12-4739] set_clock_groups:No valid object(s) found for '-group [get_clocks -include_generated_clocks -of [get_nets pcie_clk]]'. [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:387]
  4693. Resolution: Check if the specified object(s) exists in the current design. If it does, ensure that the correct design hierarchy was specified for the object. If you are working with clocks, make sure create_clock was used to create the clock object before it is referenced.
  4694. CRITICAL WARNING: [Vivado 12-4739] set_clock_groups:No valid object(s) found for '-group '. [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:387]
  4695. Resolution: Check if the specified object(s) exists in the current design. If it does, ensure that the correct design hierarchy was specified for the object. If you are working with clocks, make sure create_clock was used to create the clock object before it is referenced.
  4696. CRITICAL WARNING: [Vivado 12-5201] set_clock_groups: cannot set the clock group when only one non-empty group remains. [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc:387]
  4697. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/build/sqrl_acorn/gateware/sqrl_acorn.xdc]
  4698. Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc] for cell 'pcie_support/pcie_i/inst'
  4699. CRITICAL WARNING: [Vivado 12-2285] Cannot set LOC property of instance 'pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].gt_wrapper_i/gtp_channel.gtpe2_channel_i'... pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].gt_wrapper_i/gtp_channel.gtpe2_channel_i Instance pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].gt_wrapper_i/gtp_channel.gtpe2_channel_i can not be placed in GTPE2_CHANNEL of site GTPE2_CHANNEL_X0Y7 because the bel is occupied by pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[3].gt_wrapper_i/gtp_channel.gtpe2_channel_i. This could be caused by bel constraint conflict [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc:94]
  4700. Resolution: When using BEL constraints, ensure the BEL constraints are defined before the LOC constraints to avoid conflicts at a given site.
  4701. CRITICAL WARNING: [Vivado 12-2285] Cannot set LOC property of instance 'pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[1].gt_wrapper_i/gtp_channel.gtpe2_channel_i'... pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[1].gt_wrapper_i/gtp_channel.gtpe2_channel_i Instance pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[1].gt_wrapper_i/gtp_channel.gtpe2_channel_i can not be placed in GTPE2_CHANNEL of site GTPE2_CHANNEL_X0Y6 because the bel is occupied by pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].gt_wrapper_i/gtp_channel.gtpe2_channel_i. This could be caused by bel constraint conflict [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc:96]
  4702. Resolution: When using BEL constraints, ensure the BEL constraints are defined before the LOC constraints to avoid conflicts at a given site.
  4703. CRITICAL WARNING: [Vivado 12-2285] Cannot set LOC property of instance 'pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[3].gt_wrapper_i/gtp_channel.gtpe2_channel_i'... pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[3].gt_wrapper_i/gtp_channel.gtpe2_channel_i Instance pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[3].gt_wrapper_i/gtp_channel.gtpe2_channel_i can not be placed in GTPE2_CHANNEL of site GTPE2_CHANNEL_X0Y4 because the bel is occupied by pcie_support/pcie_i/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[1].gt_wrapper_i/gtp_channel.gtpe2_channel_i. This could be caused by bel constraint conflict [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc:100]
  4704. Resolution: When using BEL constraints, ensure the BEL constraints are defined before the LOC constraints to avoid conflicts at a given site.
  4705. Finished Parsing XDC File [/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/source/pcie-PCIE_X0Y0.xdc] for cell 'pcie_support/pcie_i/inst'
  4706. INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/home/tpwatson/nitefury_nonsense/litex/litepcie/litepcie/phy/xilinx_s7_gen2_x4/pcie_s7.dcp'
  4707. INFO: [Project 1-1715] 1 XPM XDC files have been applied to the design.
  4708. INFO: [Opt 31-138] Pushed 3 inverter(s) to 34 load pin(s).
  4709. Netlist sorting complete. Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3109.254 ; gain = 0.000 ; free physical = 30489 ; free virtual = 60115
  4710. INFO: [Project 1-111] Unisim Transformation Summary:
  4711. A total of 282 instances were transformed.
  4712. FD => FDRE: 8 instances
  4713. IOBUF => IOBUF (IBUF, OBUFT): 16 instances
  4714. IOBUFDS => IOBUFDS (IBUFDS, INV, OBUFTDS(x2)): 2 instances
  4715. OBUFDS => OBUFDS_DUAL_BUF (INV, OBUFDS(x2)): 1 instance
  4716. RAM32M => RAM32M (RAMD32(x6), RAMS32(x2)): 91 instances
  4717. RAM64M => RAM64M (RAMD64E(x4)): 156 instances
  4718. RAM64X1D => RAM64X1D (RAMD64E(x2)): 8 instances
  4719.  
  4720. INFO: [Common 17-83] Releasing license: Synthesis
  4721. 181 Infos, 319 Warnings, 6 Critical Warnings and 0 Errors encountered.
  4722. synth_design completed successfully
  4723. synth_design: Time (s): cpu = 00:01:29 ; elapsed = 00:01:26 . Memory (MB): peak = 3109.254 ; gain = 320.234 ; free physical = 30658 ; free virtual = 60285
  4724. # report_timing_summary -file sqrl_acorn_timing_synth.rpt
  4725. INFO: [Timing 38-35] Done setting XDC timing constraints.
  4726. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2, Delay Type: min_max.
  4727. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
  4728. # report_utilization -hierarchical -file sqrl_acorn_utilization_hierarchical_synth.rpt
  4729. # report_utilization -file sqrl_acorn_utilization_synth.rpt
  4730. # opt_design -directive default
  4731. Command: opt_design -directive default
  4732. INFO: [Vivado_Tcl 4-136] Directive used for opt_design is: default
  4733. Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
  4734. INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
  4735. Running DRC as a precondition to command opt_design
  4736.  
  4737. Starting DRC Task
  4738. INFO: [DRC 23-27] Running DRC with 8 threads
  4739. INFO: [Project 1-461] DRC finished with 0 Errors
  4740. INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
  4741.  
  4742. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.92 . Memory (MB): peak = 3180.312 ; gain = 64.031 ; free physical = 30588 ; free virtual = 60215
  4743.  
  4744. Starting Cache Timing Information Task
  4745. Ending Cache Timing Information Task | Checksum: 1bf84d2ab
  4746.  
  4747. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30589 ; free virtual = 60215
  4748.  
  4749. Starting Logic Optimization Task
  4750.  
  4751. Phase 1 Retarget
  4752. INFO: [Opt 31-138] Pushed 4 inverter(s) to 21 load pin(s).
  4753. INFO: [Opt 31-49] Retargeted 0 cell(s).
  4754. Phase 1 Retarget | Checksum: e80ea8f3
  4755.  
  4756. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.78 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60156
  4757. INFO: [Opt 31-389] Phase Retarget created 10 cells and removed 106 cells
  4758. INFO: [Opt 31-1021] In phase Retarget, 8 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail.
  4759.  
  4760. Phase 2 Constant propagation
  4761. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
  4762. Phase 2 Constant propagation | Checksum: 18e01a1e0
  4763.  
  4764. Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.96 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60156
  4765. INFO: [Opt 31-389] Phase Constant propagation created 53 cells and removed 90 cells
  4766.  
  4767. Phase 3 Sweep
  4768. Phase 3 Sweep | Checksum: 18a5999d1
  4769.  
  4770. Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60155
  4771. INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1628 cells
  4772. INFO: [Opt 31-1021] In phase Sweep, 309 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail.
  4773.  
  4774. Phase 4 BUFG optimization
  4775. Phase 4 BUFG optimization | Checksum: 19ab9ebec
  4776.  
  4777. Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60155
  4778. INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
  4779. INFO: [Opt 31-1021] In phase BUFG optimization, 3 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail.
  4780.  
  4781. Phase 5 Shift Register Optimization
  4782. INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
  4783. Phase 5 Shift Register Optimization | Checksum: 19ab9ebec
  4784.  
  4785. Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60155
  4786. INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
  4787.  
  4788. Phase 6 Post Processing Netlist
  4789. Phase 6 Post Processing Netlist | Checksum: 18a5999d1
  4790.  
  4791. Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60155
  4792. INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
  4793. INFO: [Opt 31-1021] In phase Post Processing Netlist, 3 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail.
  4794. Opt_design Change Summary
  4795. =========================
  4796.  
  4797.  
  4798. -------------------------------------------------------------------------------------------------------------------------
  4799. | Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations |
  4800. -------------------------------------------------------------------------------------------------------------------------
  4801. | Retarget | 10 | 106 | 8 |
  4802. | Constant propagation | 53 | 90 | 0 |
  4803. | Sweep | 0 | 1628 | 309 |
  4804. | BUFG optimization | 0 | 0 | 3 |
  4805. | Shift Register Optimization | 0 | 0 | 0 |
  4806. | Post Processing Netlist | 0 | 0 | 3 |
  4807. -------------------------------------------------------------------------------------------------------------------------
  4808.  
  4809.  
  4810.  
  4811. Starting Connectivity Check Task
  4812.  
  4813. Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60155
  4814. Ending Logic Optimization Task | Checksum: 1ea0aa2ea
  4815.  
  4816. Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3180.312 ; gain = 0.000 ; free physical = 30529 ; free virtual = 60155
  4817.  
  4818. Starting Power Optimization Task
  4819. INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
  4820. INFO: [Power 33-23] Power model is not available for STARTUPE2
  4821. INFO: [Timing 38-35] Done setting XDC timing constraints.
  4822. Running Vector-less Activity Propagation...
  4823.  
  4824. Finished Running Vector-less Activity Propagation
  4825. INFO: [Pwropt 34-9] Applying IDT optimizations ...
  4826. INFO: [Pwropt 34-10] Applying ODC optimizations ...
  4827.  
  4828.  
  4829. Starting PowerOpt Patch Enables Task
  4830. INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 74 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated.
  4831. INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports
  4832. Number of BRAM Ports augmented: 4 newly gated: 1 Total Ports: 148
  4833. Ending PowerOpt Patch Enables Task | Checksum: 217ebc239
  4834.  
  4835. Time (s): cpu = 00:00:00.23 ; elapsed = 00:00:00.23 . Memory (MB): peak = 3467.227 ; gain = 0.000 ; free physical = 30638 ; free virtual = 60264
  4836. Ending Power Optimization Task | Checksum: 217ebc239
  4837.  
  4838. Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 3467.227 ; gain = 286.914 ; free physical = 30649 ; free virtual = 60275
  4839.  
  4840. Starting Final Cleanup Task
  4841. Ending Final Cleanup Task | Checksum: 217ebc239
  4842.  
  4843. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3467.227 ; gain = 0.000 ; free physical = 30649 ; free virtual = 60275
  4844.  
  4845. Starting Netlist Obfuscation Task
  4846. Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3467.227 ; gain = 0.000 ; free physical = 30649 ; free virtual = 60275
  4847. Ending Netlist Obfuscation Task | Checksum: 21b233e88
  4848.  
  4849. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3467.227 ; gain = 0.000 ; free physical = 30649 ; free virtual = 60275
  4850. INFO: [Common 17-83] Releasing license: Implementation
  4851. 27 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
  4852. opt_design completed successfully
  4853. opt_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:11 . Memory (MB): peak = 3467.227 ; gain = 350.945 ; free physical = 30649 ; free virtual = 60275
  4854. INFO: [Timing 38-35] Done setting XDC timing constraints.
  4855. WARNING: [Vivado 12-627] No clocks matched 'main_crg_clkout0'.
  4856. INFO: [Vivado 12-626] No clocks found. Please use 'create_clock' or 'create_generated_clock' command to create clocks.
  4857. # set_clock_groups -group [get_clocks main_crg_clkout0] -group [get_clocks userclk2] -asynchronous
  4858. CRITICAL WARNING: [Vivado 12-4739] set_clock_groups:No valid object(s) found for '-group [get_clocks main_crg_clkout0]'.
  4859. Resolution: Check if the specified object(s) exists in the current design. If it does, ensure that the correct design hierarchy was specified for the object. If you are working with clocks, make sure create_clock was used to create the clock object before it is referenced.
  4860. CRITICAL WARNING: [Vivado 12-4739] set_clock_groups:No valid object(s) found for '-group '.
  4861. Resolution: Check if the specified object(s) exists in the current design. If it does, ensure that the correct design hierarchy was specified for the object. If you are working with clocks, make sure create_clock was used to create the clock object before it is referenced.
  4862. ERROR: [Vivado 12-5201] set_clock_groups: cannot set the clock group when only one non-empty group remains.
  4863. INFO: [Common 17-206] Exiting Vivado at Tue Aug 24 15:50:31 2021...
  4864. Traceback (most recent call last):
  4865. File "/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/./sqrl_acorn.py", line 209, in <module>
  4866. main()
  4867. File "/home/tpwatson/nitefury_nonsense/litex/litex-boards/litex_boards/targets/./sqrl_acorn.py", line 195, in main
  4868. builder.build(run=args.build)
  4869. File "/home/tpwatson/nitefury_nonsense/litex/litex/litex/soc/integration/builder.py", line 310, in build
  4870. vns = self.soc.build(build_dir=self.gateware_dir, **kwargs)
  4871. File "/home/tpwatson/nitefury_nonsense/litex/litex/litex/soc/integration/soc.py", line 1127, in build
  4872. return self.platform.build(self, *args, **kwargs)
  4873. File "/home/tpwatson/nitefury_nonsense/litex/litex/litex/build/xilinx/platform.py", line 55, in build
  4874. return self.toolchain.build(self, *args, **kwargs)
  4875. File "/home/tpwatson/nitefury_nonsense/litex/litex/litex/build/xilinx/vivado.py", line 355, in build
  4876. _run_script(script)
  4877. File "/home/tpwatson/nitefury_nonsense/litex/litex/litex/build/xilinx/vivado.py", line 101, in _run_script
  4878. raise OSError("Error occured during Vivado's script execution.")
  4879. OSError: Error occured during Vivado's script execution.
  4880.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement