Advertisement
godar_

SDRAM_VGA.sdc

Aug 8th, 2017
541
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. #**************************************************************
  2. # This .sdc file is created by Terasic Tool.
  3. # Users are recommended to modify this file to match users logic.
  4. #**************************************************************
  5.  
  6. #**************************************************************
  7. # Create Clock
  8. #**************************************************************
  9. create_clock -period "10.0 MHz" [get_ports ADC_CLK_10]
  10. create_clock -period "50.0 MHz" [get_ports MAX10_CLK1_50]
  11. create_clock -period "50.0 MHz" [get_ports MAX10_CLK2_50]
  12. create_clock -period "100.0 MHz" [get_ports DRAM_CLK]
  13.  
  14. #**************************************************************
  15. # Create Generated Clock
  16. #**************************************************************
  17. derive_pll_clocks
  18.  
  19.  
  20.  
  21. #**************************************************************
  22. # Set Clock Latency
  23. #**************************************************************
  24.  
  25.  
  26.  
  27. #**************************************************************
  28. # Set Clock Uncertainty
  29. #**************************************************************
  30. derive_clock_uncertainty
  31.  
  32.  
  33.  
  34. #**************************************************************
  35. # Set Input Delay
  36. #**************************************************************
  37.  
  38.  
  39.  
  40. #**************************************************************
  41. # Set Output Delay
  42. #**************************************************************
  43.  
  44.  
  45.  
  46. #**************************************************************
  47. # Set Clock Groups
  48. #**************************************************************
  49.  
  50.  
  51.  
  52. #**************************************************************
  53. # Set False Path
  54. #**************************************************************
  55.  
  56.  
  57.  
  58. #**************************************************************
  59. # Set Multicycle Path
  60. #**************************************************************
  61.  
  62.  
  63.  
  64. #**************************************************************
  65. # Set Maximum Delay
  66. #**************************************************************
  67.  
  68.  
  69.  
  70. #**************************************************************
  71. # Set Minimum Delay
  72. #**************************************************************
  73.  
  74.  
  75.  
  76. #**************************************************************
  77. # Set Input Transition
  78. #**************************************************************
  79.  
  80.  
  81.  
  82. #**************************************************************
  83. # Set Load
  84. #**************************************************************
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement