Advertisement
Guest User

Untitled

a guest
Jul 28th, 2017
52
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.44 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_unsigned.all;
  4.  
  5. entity xor8 is
  6. port (
  7. y :out std_logic;
  8. a :in std_logic;
  9. b :in std_logic;
  10. c :in std_logic;
  11. d :in std_logic;
  12. e :in std_logic;
  13. f :in std_logic;
  14. g :in std_logic;
  15. h :in std_logic
  16. );
  17. end entity;
  18.  
  19. architecture rtl of xor8 is
  20. begin
  21. process (a, b, c, d, e, f, g, h) begin
  22. y <= a xor b xor c xor d xor e xor f xor g xor h;
  23. end process;
  24. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement