Advertisement
Guest User

Untitled

a guest
Jun 23rd, 2017
48
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.07 KB | None | 0 0
  1. module hex_7seg(hex,LED);
  2.  
  3. //HEX-to-seven-segment decoder with enable input
  4.  
  5. input [3:0] hex;
  6. output [6:0] LED;
  7. reg [6:0] LED;
  8.  
  9. // segment encoding
  10. // 0
  11. // --
  12. // 5 | | 1
  13. // -6-
  14. // 4 | | 2
  15. // --
  16. // 3
  17.  
  18. always @(hex)
  19. begin
  20. case (hex)
  21. 4'b0000 : LED = 7'b1000000; //0
  22. 4'b0001 : LED = 7'b1111001; //1
  23. 4'b0010 : LED = 7'b0100100; //2
  24. 4'b0011 : LED = 7'b0110000; //3
  25. 4'b0100 : LED = 7'b0011001; //4
  26. 4'b0101 : LED = 7'b0010010; //5
  27. 4'b0110 : LED = 7'b0000010; //6
  28. 4'b0111 : LED = 7'b1111000; //7
  29. 4'b1000 : LED = 7'b0000000; //8
  30. 4'b1001 : LED = 7'b0010000; //9
  31. 4'b1010 : LED = 7'b0001000; //A
  32. 4'b1011 : LED = 7'b0000011; //b
  33. 4'b1100 : LED = 7'b1000110; //C
  34. 4'b1101 : LED = 7'b0100001; //d
  35. 4'b1110 : LED = 7'b0000110; //E
  36. 4'b1111 : LED = 7'b0001110; //F
  37.  
  38. endcase
  39. end
  40.  
  41. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement