Advertisement
WhiteDeath

INNOVUS19.10.000

Aug 6th, 2019
3,317
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 30.45 KB | None | 0 0
  1. ================================================
  2. CCRs Fixed in Release 19.1 RTM
  3. ================================================
  4. CCMPR02055052 Support UPF3.0 Parser
  5. CCMPR02051505 setEdit(inn1625) vs setEditMode(inn1812) compatibility
  6. CCMPR02051463 get_ccopt_skew_group_delay crashed
  7. CCMPR02049931 place_opt_design crashes with "set_limited_access_feature FlipFlopMergeAndSplit false"
  8. CCMPR02048344 Strange routeDesign -wireOpt behavior in 19.10
  9. CCMPR02046944 SEGV during assign_clock_tree_source_groups for a design with preserved ports v19.10-d235_1
  10. CCMPR02044791 Wire edit commands add redundant floating VIA10
  11. CCMPR02043082 Push partition_push_network is too slow with power_intent
  12. CCMPR02040212 legalizePin issues IMPPTN-562 warning with strange coordinates
  13. CCMPR02040038 IQRC does not see physical connectivity between terminal wire segment (IMPEXT-1392)
  14. CCMPR02039773 SEGV crashing optDesign at post_route during delay calculation
  15. CCMPR02039687 Position based net connection in instance after assembleDesign
  16. CCMPR02039644 routeDesign crash with setNanoRouteMode -routeWithTimingDriven true
  17. CCMPR02039141 editPowerVia command to add power vias from M3 to M1 rails takes long time to complete
  18. CCMPR02039084 ColorizePowerMesh hangs for long time
  19. CCMPR02038227 Filler node is crashing while executing the verifyLitho command
  20. CCMPR02038189 delete_constant_nets (deleteDangling1b1Or0s) does not respect dont_touch_hports
  21. CCMPR02037672 addRepeaterByRule crashes after using "free_power_intent"
  22. CCMPR02037525 NanoRoute not routing straight shots on same metal layer well
  23. CCMPR02036862 Please map legacy setViaGenMode -ignore_design_boundary to Stylus
  24. CCMPR02036858 Add *.enc to filetype pull down menu when using "Restore Design" in the GUI
  25. CCMPR02036850 Long runtime of the partition command
  26. CCMPR02036828 NanoRoute crash in 18.11, critical design
  27. CCMPR02036805 Crash during defIn command
  28. CCMPR02036535 tQuantus TCAP/XCAP optimism Vs iQuantus in 18.12
  29. CCMPR02036318 postcts SEGVs with cdcc14CompressedWave5reset
  30. CCMPR02035579 Text box size from OA design become very large in Innovus
  31. CCMPR02035291 Got a crash when place_opt_design ran
  32. CCMPR02033930 checkPlace struck after placement in Innovus 18.11
  33. CCMPR02033257 Error: IMPCCOPT-1337 during the synthesize_ccopt_flexible_htrees command
  34. CCMPR02032764 Virtual connects appear to have incorrect coordinates
  35. CCMPR02032636 place_opt -opt SEGVs with malformed bucket chain in Tcl_DeleteHashEntry
  36. CCMPR02031696 Error IMPVB-23 while loading Calibre PM rdb file in Innovus
  37. CCMPR02031656 The summaryReport "Layer ... Information" header string is not getting reset between layers
  38. CCMPR02031489 Tool is crashing during the detailRoute -fix_drc in the filler node step
  39. CCMPR02031459 postCTS optimization SEGVs during delay calculation with cdcc14CompressedWave5resetEv
  40. CCMPR02030914 Innovus crash when clicking letter R from schematic view
  41. CCMPR02029817 EDI writes UPF with a syntax error
  42. CCMPR02028956 postroute opt SEGVs in initial delay calculation
  43. CCMPR02027675 Placement crash caused by fake term
  44. CCMPR02026908 NR creates voltage spacing violations with negative bias nets
  45. CCMPR02026480 synthesize_ccopt_flexible_htrees runs out of memory at "Computing placement data ..."
  46. CCMPR02025889 get_db returns invalid values for the attribute .bbox.dx
  47. CCMPR02025882 SEGV during diode insertion for antenna fixes
  48. CCMPR02025643 IMPCK-1021 on a three-metal layer design
  49. CCMPR02025474 NanoRoute not routing straight
  50. CCMPR02023427 SEGV in ccopt_design
  51. CCMPR02022945 oasisOut corrupted after refinePlace
  52. CCMPR02021837 Tool crashes while restoring the saved db
  53. CCMPR02021529 SEGV during create_floorplan
  54. CCMPR02021410 tQuantus SEGV during clock_design in 19.1
  55. CCMPR02021250 Nano route crashes during diode insertion for the antenna fix
  56. CCMPR02020915 Add fillers in postroute dbs caused tool to SEGV
  57. CCMPR02020208 optDesign -postRoute -expandedViews crashes
  58. CCMPR02018934 Auto track generation issue when tech lef uses FIRSTLASTPITCH
  59. CCMPR02018117 Extra vias appear after partition
  60. CCMPR02017530 Long secondary P/G routing to lower layer target while shorter target exist in higher metal
  61. CCMPR02016909 verify_drc does still not detect voltage spacing violation despite recent DB fixes
  62. CCMPR02016644 Why special route needs more overlap of a macro pin than regular route so that IQuantus finds the physical connectivity?
  63. CCMPR02016087 **WARN: (IMPOAX-1339): Mask value for layer 'CM1' specified in technology data
  64. CCMPR02015670 FlexH routing has too much jogging
  65. CCMPR02015528 dbShape very slow with INSIDE, STRADDLE, and OUTSIDE
  66. CCMPR02015460 edit_update_route_layer broken - innovus 18.12-e077_1
  67. CCMPR02014494 SEGV during ccopt_design
  68. CCMPR02014301 verify_drc missed VIA1.EN.10 violations when vias not centered on std cell pins pin
  69. CCMPR02014228 defOut does not define vias used in the design, while "dumpOutVias" defines it
  70. CCMPR02013592 Vias are missing after partition
  71. CCMPR02013591 SEGV observed during place_opt_design with OA db
  72. CCMPR02012767 common_ui: The edit_update_route_width command is changing/deleting the fixed via
  73. CCMPR02011516 AddStripe could not be extended over the block unless saveDesing, freeDesign, restoreDesign are done
  74. CCMPR02011473 CCOpt SEGV at CCOpt::Cts::Balancer::Balance, the end of globalDetailRoute
  75. CCMPR02011408 refinePlace runtime is 22 minutes or more on 3.5M block
  76. CCMPR02011320 View name being wrap
  77. CCMPR02011016 Default power domain gets partition box and not boxes
  78. CCMPR02010918 place_connected SEGVs in refinePlace with SDPs defined and unplaced
  79. CCMPR02010514 sroute connects block pin to vertical stripes instead of horizontal stripe
  80. CCMPR02010440 The defIn command with larger die size on rectilinear design leaves gaps in the design
  81. CCMPR02009234 SEGV during CCOPT
  82. CCMPR02009061 add_stripes is creating EolExt Spacing DRC violations
  83. CCMPR02008833 SEGV during flex htree implementation
  84. CCMPR02008610 Innovus 1812: core dump during ILM model generation
  85. CCMPR02007904 fcroute -type power does not drop via and does not jog resulting in opens when connecting bumps to stripes
  86. CCMPR02007435 Crash during route_design
  87. CCMPR02007340 Innovus 1812 SEG fault when generating ILM model
  88. CCMPR02007181 Innovus 18.11 SEGV in ccopt_design
  89. CCMPR02004738 Crash during postroute power optimization
  90. CCMPR02004533 To allow customized append prefix to auto generated vias (generateVias)
  91. CCMPR02003887 Latest 181 place_opt_design SEG fault with ILM
  92. CCMPR02003674 Incorect max VOLTAGE setup on negative bias nets
  93. CCMPR02003218 Tool crash during interactive special route
  94. CCMPR02003122 Innovus issues: **DIAG: invalid input to rcdb! internal node missing on net_id
  95. CCMPR02002574 INVS 181 fails to save OA design because of missing tech layer PC (poly)
  96. CCMPR02001610 Innovus crash during CCOpt used for buffer tree synthesis procedure
  97. CCMPR02001589 Pipeline placement with Kmean on is not balanced
  98. CCMPR02001117 write_lef_library outputs float instead int for ANTENNACUMDIFFAREARATIO
  99. CCMPR02000790 Nonsensical timing on routed clk_mesh net - innovus1812
  100. CCMPR02000738 Flip chip fcroute is not routing to all the selected bumps
  101. CCMPR02000630 report_timing SEGVs on large flattened design
  102. CCMPR02000571 ERROR (NREX-94) There are 10 routing layers in design, 0 layers are mapped to techfile. Please check the mapping file
  103. CCMPR02000356 verify_drc does not flag Metal_to_Cut voltage spacing violations, internal domain's max voltage is not correct
  104. CCMPR02000003 addStripe does not put in staple vias in particular areas
  105. CCMPR01999693 7nm stacked vias with MAXCELLEXTENSION leaves DRCs beneath wide PG stripes
  106. CCMPR01999622 Innovus crashes when trying to load db in an existing Innovus session
  107. CCMPR01999385 Ports getting VSS/VDD attributes instead of tieHi/tieLo
  108. CCMPR01999126 place_opt_design results in crash with latest Innovus 171
  109. CCMPR01998410 preRoute extractor is generating very large cap
  110. CCMPR01997773 synthesize_flexhible_htree run time
  111. CCMPR01997670 IMPOPT-608 improperly reported on fully routed design preventing optDesign -postRoute from running
  112. CCMPR01997363 delete_place_blockages continue to be accessible and not output any message
  113. CCMPR01996818 save design crashes after using delete_clock_tree_repeaters
  114. CCMPR01996736 verifyACLimit requires 20nm license even when 7nm license checked out
  115. CCMPR01996074 checkPlace is crashing in 18.11-e061_1
  116. CCMPR01995645 Wire shape is seen in GUI but part of it is not selectable/not returned by dbQuery
  117. CCMPR01995534 Innovus crash with assembleDesign
  118. CCMPR01995297 SEGV while loading OA db
  119. CCMPR01995000 Floorplan step is getting crashed while sourcing addModule port file when IO port is selected
  120. CCMPR01994489 Crash during spare cell addition on an ILM based full-chip placeOpt DB
  121. CCMPR01994368 Unassign bump caused tool to SEGV
  122. CCMPR01994364 INVS 18.12: Tool gives internal error IMPCCOPT-1337 during H-tree generation with customized sink list provided
  123. CCMPR01994256 streamOut stuck when we add path metal under pin
  124. CCMPR01993236 tQuantus with virtual metal fill fails to model increased coupling cap associated with fill
  125. CCMPR01993072 ib_cell does not honor padding
  126. CCMPR01992751 dbget crash in 18.11-e061
  127. CCMPR01992241 Odd timing discrepancy between SI and non-SI
  128. CCMPR01991422 Clock latency has no effect when we reload the DB
  129. CCMPR01991416 assembleDesign FATAL ERROR due to port inconsistency
  130. CCMPR01990939 setEditMode -connectPin default value is not correct
  131. CCMPR01990712 NR should not add patch-wires on top of pins that implicitly widen the pin shapes
  132. CCMPR01990209 routeDesign crash at RC extraction
  133. CCMPR01990078 ccopt_design timing analysis SEGV
  134. CCMPR01989395 DIAG errors from add_tieoffs emitted by CCOpt code even though running place_opt_design
  135. CCMPR01989345 read_physical -add_lefs generates ERROR: (IMPSE-110)
  136. CCMPR01989030 create_route_blockage in 18.12 rounds off coordinates
  137. CCMPR01988547 Need an official way to open the Timing Debug window from a command
  138. CCMPR01988343 editPowerVia to create maximum number of cuts in the intersection (w/o changing wire shape)
  139. CCMPR01988254 Innovus is getting crash while ccopt_design
  140. CCMPR01987794 CCOpt segmentation fault
  141. CCMPR01987611 synthesize_ccopt_flexible_htrees: long runtime at "Computing placement data for flexible H-tree"
  142. CCMPR01987471 Post-route optimization hangs with the ERROR: (IMPSYUTIL-2)
  143. CCMPR01987116 18.1x stops on setViaEdit -allow_geom_drc 1 > /dev/null
  144. CCMPR01985524 Quick abstract inference does not create metal blockages in 18.1
  145. CCMPR01983228 write_lef_abstract does not color pin shapes on M1 correctly
  146. CCMPR01982662 Question on messages printed when invoking the timeDesign command
  147. CCMPR01982246 streamOut -merge is creating a GDS with multiple top structures breaking downstream flows
  148. CCMPR01982216 Spelling error in the "gui_dim_foreground -lightness_level medium" option
  149. CCMPR01982090 .globals file cannot be read back without error through Innovus
  150. CCMPR01981568 181/182 DIAG and SEGV during create_clock_tree_spec
  151. CCMPR01981106 Errors during eco_design with 17.15
  152. CCMPR01980067 Wire widening creates minStep violations
  153. CCMPR01979552 Innovus tool changes orientation when moving pad cells
  154. CCMPR01979198 Innovus 18 crashes on setNanoRouteMode -routeUseAutoVia true
  155. CCMPR01977245 remove_assign/init_remove_assign is not able to remove assign statement
  156. CCMPR01976209 synthesize_ccopt_flexible_htrees crashed with 18.11,18.12 and 18.20
  157. CCMPR01974522 write_lef_library creates invalid LEF file on cells with MUSTJOIN pins.
  158. CCMPR01974303 place_opt_design hangs during in2reg path group optimization
  159. CCMPR01974294 zoom to metal fill causes SEGV
  160. CCMPR01973819 write_lef_library creates a corrupted lef file
  161. CCMPR01973725 addInst snap to one row below the expected row
  162. CCMPR01972187 Innovus 18.1 extraction with tQuantus is failing
  163. CCMPR01971806 Response time of Timing Debugger is very slow
  164. CCMPR01971303 Min cut in LEF for Innovus 18.1 causing DRC
  165. CCMPR01970959 NanoRoute to connect preroutes without using trim (on track next to wide metal)
  166. CCMPR01970864 Status attributes regarding status of the current design
  167. CCMPR01970765 DRV preCTS optimization using normal buffers for cross domain paths
  168. CCMPR01970010 How can we write out a netlist, by excluding PG pins of instances from BE ECO db?
  169. CCMPR01969915 Innovus IO PAD routing using FCROUTE leaves many opens and thousands of DRCs
  170. CCMPR01969845 editPowerVia does not always pick the correct solution
  171. CCMPR01969784 Wrong IEEE1801 PST handling in Innovus while merging multiple power states.
  172. CCMPR01969777 CCOpt places clock buffer w/via pillar beneath wide power rail creating downstream DRCs
  173. CCMPR01969257 synthesize_ccopt_flexible_htrees hangs
  174. CCMPR01968936 Tool crashing while updating timing
  175. CCMPR01968395 addStripe crashed in 18.11-e061_1
  176. CCMPR01968362 oasisOut outputting duplicate text labels on bump shape resulting in DRC errors about off center text
  177. CCMPR01967420 sroute is creating shorts with std cell geometries
  178. CCMPR01967106 NR is not able to clean up Macro pin access DRCs
  179. CCMPR01966885 Innovus 18.1 hangs during via pillar insertion
  180. CCMPR01966833 Routing QOR degradation 18.11 vs 17.14
  181. CCMPR01965947 **ERROR: (IMPSYC-194) message flagged for the addHaloToBlock command needs improvement
  182. CCMPR01965602 Via pillar y-pitch not honored
  183. CCMPR01964727 addStripe hangs with use_fgc true
  184. CCMPR01964425 write_db stops with ERROR: (UI-476) message
  185. CCMPR01964258 Postroute optimization hangs due to the addFiller command
  186. CCMPR01963241 NR/ecoRoute fails to resolve M1 trim metal violation
  187. CCMPR01963228 18.1 verify_drc flags M5/M7 directional spanlength spacing violations that PVS does not
  188. CCMPR01963072 Cannot reset modify_ndr -minCut to value 1
  189. CCMPR01962601 routeDesign exceeds 800GB memory usage: memory jump in TDGR
  190. CCMPR01962435 DB access command keeps incorrect placement halo
  191. CCMPR01962315 Innovus crashing at init_design
  192. CCMPR01961813 Documentation for trace_through_to is inadequate
  193. CCMPR01961026 Mesh-based secondary PG routing leaves lots of DRCs
  194. CCMPR01960572 place_opt_design is modifying rectilinear floorplan boundary
  195. CCMPR01960045 Timing in 18.1 more optimistic than 17.15
  196. CCMPR01960041 Placement resulting in V0 violations with colored V0
  197. CCMPR01959418 editPowerVia runtime increased 84 times from 17.1 to 18.1
  198. CCMPR01959360 INNOVUS crash while saving OA design
  199. CCMPR01959050 Highlight PD gives SEGV
  200. CCMPR01958208 Deletion of unwanted pgTerm caused all bumps unassigned
  201. CCMPR01958079 passiveFill shapes add multiple shapes overtop each other with successive runs
  202. CCMPR01956970 Crash with extractRC called from Innovus
  203. CCMPR01954979 Innovus: init_design failing with IMPOAX-929 for hierarchical PCell in the express PCell cache
  204. CCMPR01954599 optDeisgn -postroute segv
  205. CCMPR01954371 How to add/query object1/object2 information using create_marker/get_db?
  206. CCMPR01954079 place_opt_design -opt takes 90hrs for multiBitFlopOpt and freeFlopMerge flow
  207. CCMPR01953839 Abort during route_design in 18.11-e055_1
  208. CCMPR01953753 NanoRoute adds unnecessary patch wire
  209. CCMPR01953010 routeDesign fails during parasitic extraction
  210. CCMPR01952429 Innovus IO PAD routing using fcroute does not complete
  211. CCMPR01952368 Placement is creating overlap of cell V3 OBS SPACING 0 with V3 PG
  212. CCMPR01951940 optDesign -postRoute results in hang/DRC explosion
  213. CCMPR01951176 refinePlace should not crash when design is not in memory
  214. CCMPR01951135 Crash in saveDesign
  215. CCMPR01950404 Instant crash with report_obj_connectivity -ports
  216. CCMPR01949858 addRepeaterByRule -postRoute will not add buffer on net that goes around macro and -preRoute adds right at the sink only
  217. CCMPR01948429 Part 2: 17.15 routing violations from via-down to memory pins
  218. CCMPR01948238 Crash with the violationBrowserHide command
  219. CCMPR01948236 verify_drc is wrong for ARRAYSPACING ... WITHIN ... ARRAYWIDTH
  220. CCMPR01947984 verify_drc misses cut EOL violation with 18.11 version but catches in 17.1x
  221. CCMPR01947870 Pin access took long time for two cells with setNanoRouteMode -routeExpWithTrimMetal
  222. CCMPR01947572 add_stripes do not error out when syntax is wrong
  223. CCMPR01945584 TCL execution continues **ERROR: (IMPLIC-90) to terminate TCL script execution
  224. CCMPR01944678 M2/M3 power staples added with the addStripe command are extending outside of the core boundary of the design
  225. CCMPR01944534 verify_drc very slow taking multiple days
  226. CCMPR01944340 ECO routing to fix ARRAYSPACING violations marked by verify_drc
  227. CCMPR01944168 Buffer budget violations seen when using new mixed buffer/inverter flow in extreme flow
  228. CCMPR01944100 streamOut crash
  229. CCMPR01943523 Stack trace during timeDesign -reportOnly
  230. CCMPR01943135 Tool crashes when propagate_activity is run
  231. CCMPR01941965 171/181: SEGV during postroute optimization during ECO shielding
  232. CCMPR01940993 place_opt_design crash of large chip
  233. CCMPR01940925 tQuantus skips special nets which caused tQuantus and QRC correlation issue
  234. CCMPR01940096 Cell Viewer does not appear to display cells of CLASS COVER
  235. CCMPR01939977 Floorplan core bbox modified during design import without warning
  236. CCMPR01939612 check_pin_assignment does not output report to screen
  237. CCMPR01938807 skewClock -postRoute crashes
  238. CCMPR01937840 SEGV ERROR while cloning
  239. CCMPR01937708 optDesign -drv prefers AOBs over secondary islands for buffering
  240. CCMPR01937352 editPowerVia drops a via having Cut Spacing violation with STD cell geometry
  241. CCMPR01937069 optDesign not buffering HFN nets in secondary domain (MSV aware topology)
  242. CCMPR01936562 Detail routing jogs on NDR nets creating DSLSpc violations
  243. CCMPR01934572 18.1 create_route_blockage -rects runs much slower than create_route_blockage -area in 17.1x
  244. CCMPR01934422 False My.S.2.1.1 rules flagged by verify_drc
  245. CCMPR01934242 Detail Routing crashes in 17.15-e018
  246. CCMPR01934173 NanoRoute falls over in 18.1 with ERROR (NRAG-28) Cannot do strict search
  247. CCMPR01933623 High memory usage and runtime during editPowerVia
  248. CCMPR01933608 addFiller creates real and false checkPlace violations
  249. CCMPR01933601 CCOpt crashed during clustering
  250. CCMPR01933584 ccopt_design -cts crash at clustering
  251. CCMPR01933156 editAddVia adding special Via on a regular net
  252. CCMPR01933006 add_io_buffers command does not add buffers for output ports driven by power or ground net
  253. CCMPR01931842 checkPinAssignment fails to catch some violations
  254. CCMPR01931763 routeDesign crashing during optimization when run with setNanoRouteMode -routeWithOpt true
  255. CCMPR01931496 Innovus hanging on saveDesign
  256. CCMPR01930541 Ostrich core dump when reading sign off spef file
  257. CCMPR01930205 Innovus creates unspecified "vdd" power net
  258. CCMPR01929024 routeDesign to fix more cut Spacing violations
  259. CCMPR01928244 Big slow down using setViaGenMode -ignore_DRC false, with editPowerVia
  260. CCMPR01926752 Ostrich hangs when reading signoff spef file for several blocks
  261. CCMPR01926556 VIA3.R.4:M4 Calibre Drc not reported by Innovus
  262. CCMPR01926442 Tracks not re-created when using filter option with oaIn
  263. CCMPR01925327 Almost 30K M1 DSLCOL violations with newer builds where 17.14-e040_1 routed near clean
  264. CCMPR01925158 Hierarchical upf - top level scope contains redundant set_port_attribute from sons
  265. CCMPR01924649 ERRORs due to set_max_delay -from clock -to clock in constraints: units and missing analysis views
  266. CCMPR01924632 Nanoroute shield wire DRC violations
  267. CCMPR01924207 place_opt_design is using high Memory after global placement
  268. CCMPR01923810 verify_drc fails to catch VIAINPINONLY DRC violation
  269. CCMPR01923713 Support layer based spacing constraint in pin assignment
  270. CCMPR01923446 18.10--non-determinism in POD
  271. CCMPR01923159 editPowerVia exits possibly due to memory issue
  272. CCMPR01922769 Current being incorrectly distributed between the primary ground pin and the bulk ground pin
  273. CCMPR01921606 SDP stack splits when it comes across prefixed cells
  274. CCMPR01921587 tQuantus extraction of clock via pillars results in miscorrelation of cap/res vs tQRC/iQRC/QRC
  275. CCMPR01921566 Innovus is not able to resolve cutSameMaskSpacing violations
  276. CCMPR01921051 route_fix_signoff_drc to warn and list global routed or unconnected nets
  277. CCMPR01920786 **ERROR: (IMPCCOPT-1222):While running synthesize_ccopt_flexible_htrees in 18.1
  278. CCMPR01920382 check_place should not report fixed instances inside soft placement blockage as violations
  279. CCMPR01920080 Stack Trace Error in Stylus flow
  280. CCMPR01919706 addEndCap long runtime in large design
  281. CCMPR01919531 Innovus crashes on loadFPlan command
  282. CCMPR01919383 False trim to trim violation in verify_drc
  283. CCMPR01919238 Manual editing is not honoring the track color for wire color assignment
  284. CCMPR01919045 Unacceptable long run time for read liberty
  285. CCMPR01918930 check_drc did not flag M4.R.7
  286. CCMPR01918883 18.10-a087_1-non-determinism in CCOpt spine flow
  287. CCMPR01918786 timeDesign -preCts segv
  288. CCMPR01918379 Shielding Percentage on clock nets drops significantly from 94% after CTS to 60% at postroute
  289. CCMPR01918011 False short between SADP_FILLS and unused pin in verify_drc
  290. CCMPR01917899 Incremental UPF having duplicate information
  291. CCMPR01917611 optDesign does not honor preserveModuleFunction
  292. CCMPR01917303 17.15 routing violations from via-down to memory pins
  293. CCMPR01916693 Congestion Repair not occurring on 4 metal layer design
  294. CCMPR01916619 optDesign -postRoute SEGV
  295. CCMPR01916593 Die size change with saveDesign and restoreDesign Back with set fpgOddEvenSitesRowConstraint 2
  296. CCMPR01915378 assign_partition_pin inefficiently assigning pins
  297. CCMPR01915338 Tool is throwing **DIAG message while running "routeDesign -trackOpt"
  298. CCMPR01914741 placeDesign -noPrePlaceOpt takes 7 hours on design with 7 insts
  299. CCMPR01914726 Crash in route stage due to net integrity problem from global routing
  300. CCMPR01914599 171/181 tool add AO cell which are not needed, such cell impact negatively frequency target
  301. CCMPR01914222 preCTS useful skew is leaving many skewing opportunities
  302. CCMPR01914032 Cell Viewer incorrectly displays asymmetric vias
  303. CCMPR01913386 Some SDP stacks are not being pin-aligned
  304. CCMPR01913232 scanReorder with inter-chain swapping turned on made no changes to the domains where it was enabled
  305. CCMPR01912800 preroute_opt clock tree expansion adds repeaters in the incorrect power domain
  306. CCMPR01912273 Buffer add by opt resulting in CLP violations
  307. CCMPR01912257 Innovus not reporting Mx.En.10.1 enclosure violation on M7
  308. CCMPR01911530 Patch wires getting added create DRC violations. Patch wires not needed
  309. CCMPR01911496 Tempus to return prompt after read_db
  310. CCMPR01911308 ccopt_design -cts crash after clustering
  311. CCMPR01911022 ILM internal paths showing up at the parent level by report_timing
  312. CCMPR01910144 17.14 place_opt_design SEGV during extraction
  313. CCMPR01909561 INNOVUS: Make common report_area_summary command across tools
  314. CCMPR01908841 Violation browser GUI should not change focus when hide/show/show only this type is selected
  315. CCMPR01908649 verifyPowerDomain reports a false IMPMSMV-8301 for isolation location fanout
  316. CCMPR01908273 G2 power routing is not connecting properly to pins
  317. CCMPR01906436 Loading db after setting set_db oa_update_mode auto results in pins having placement status of cover instead of fixed
  318. CCMPR01906356 optDesign leaving many nets unbuffered in VDD_MX domains (MSV gas-station topology)
  319. CCMPR01906318 sroute runtime 7X slower than 17.12 in 17.13 or newer
  320. CCMPR01906232 Spelling and grammatical mistake in IMPSE-124 message
  321. CCMPR01905471 *DIAG:(Missing Adj): + 0.066 & ERROR: (TA-1029): a reporting error... during report_timing
  322. CCMPR01904798 Innovus is crashing during source group allocation in CTS
  323. CCMPR01904562 Innovus does not save the library path in relative path format using the saveDesign -relativePath command
  324. CCMPR01904499 Moorea isInstColorConflictLegal() should not flip instance color if no DRC
  325. CCMPR01902667 v2 cut spacing violations after place_opt_design
  326. CCMPR01902264 Innovus Crash during timeDesign with Multi-CPU option with Innovus-17.1
  327. CCMPR01902203 Tool crashes while running check_connectivity
  328. CCMPR01901702 place_opt_design crash with m_heapCount && m_nodeList messages
  329. CCMPR01901485 Select-all followed by copy in Innovus GUI object attributes field only fills copy/paste buffer not middle-mouse
  330. CCMPR01901252 Stylus to please enable running of flow without reporting followed later with reporting
  331. CCMPR01900393 NR creates layer jumps that cannot fix process antenna violation
  332. CCMPR01900055 reportPowerDomain gives inconsistent voltage values
  333. CCMPR01900044 Innovus does not mark M4.S.27 violations by check_drc
  334. CCMPR01899252 lremove functionality inconsistent between Tempus/Voltus/Genus/Innovus legacyUI vs CUI
  335. CCMPR01899219 Levelshifters not getting inserted and few of the nets in this design not getting clamped as per default cpf rule
  336. CCMPR01898681 get_db multiline -if clauses output extra newlines to stdout
  337. CCMPR01898530 Innovus crash during PlaceOpt with Block ILM
  338. CCMPR01897629 ERROR IMPLF-381 message needs to be flagged for standard cells with "CLASS CORE" property only
  339. CCMPR01897349 optDesign -postRoute: Timing degradation seen within ecoRoute call
  340. CCMPR01896657 sVIA and sWIRE are modified outside the yellow box in parallel edit
  341. CCMPR01895113 Innovus creates max via stack DRCs which are not reported by Innovus as violations
  342. CCMPR01894559 Innovus crashes if the number of objects reported is more than 536870909
  343. CCMPR01894510 17.1x ecoRoute adding unnecessary M3 patch metal
  344. CCMPR01894033 Better suggestion for attachTerm and attachModulePort
  345. CCMPR01893695 Tool is not honoring the list in -exclude_elements for the level_shifter rule correctly
  346. CCMPR01893633 Crash in setIntegRouteConstraint
  347. CCMPR01890864 Mincut DRC error not seen by Innovus as violation
  348. CCMPR01890572 dont_touch of hinst cannot be removed
  349. CCMPR01890331 Malformed Via from VIAGEN
  350. CCMPR01889179 attachTerm run time exponential degradation
  351. CCMPR01887920 Ccopt_design -cts hangs after clustering
  352. CCMPR01887249 runtime issue for starting level-shifter placement with spgOption.shifterMode
  353. CCMPR01886644 Need Common UI support for these options for setOptMode
  354. CCMPR01882839 Multipass CTS configured with opt_ignore property crashes
  355. CCMPR01882585 sroute creates DRC
  356. CCMPR01881856 TNS x3 worse for reselection all compared to slack based reselection (-310ns vs -101ns)
  357. CCMPR01880659 Support UPF set_port_attribute feedthrough and unconnected
  358. CCMPR01880138 Crash with multiple resize_floorplan commands (GUI)
  359. CCMPR01879487 Long saveDesign runtime on design with 1.5 M instances
  360. CCMPR01879298 How to increase precision in verify reporting
  361. CCMPR01876164 Innovus routes to power pin inside routing blockage (and creates shorts)
  362. CCMPR01873951 Enhance wire editor to accept a list of vias to ignore
  363. CCMPR01873950 SEGV in report_timing after assembleDesign
  364. CCMPR01869930 verify_drc gets CUTCLASS wrong for ARRAYSPACING
  365. CCMPR01869627 Innovus hangs during place_opt_design
  366. CCMPR01869304 SEGV crash at optDesign -postCTS -hold in 17.12 version
  367. CCMPR01868480 verifyPowerVia report incorrect distance in report file, correct one in Violation browser
  368. CCMPR01867643 saveDesign takes roughly an hour
  369. CCMPR01867132 Crash during restoreDesign of an assembled design
  370. CCMPR01865552 171/181 verifyConnectivity does not report unrouted net when IO pin are unplaced
  371. CCMPR01855635 PWR nets are not pushed down into partition as expected
  372. CCMPR01854089 Enhancement of Via pillars to support power routing
  373. CCMPR01852129 Innovus needs controls for not added EEQ cells in the netlist (LVS requirement)
  374. CCMPR01851275 17.12 commit_power_intent leaving 15k retnFlop vdd_ext open but 15.24 build is not
  375. CCMPR01849939 DRC increase at post-route opt due to high local density
  376. CCMPR01847215 write_timing_model SEGVs in 17.11 and early versions of 17.12/18.1
  377. CCMPR01842874 Innovus route_design QOR degrades from 16.21 to 17.1 version.
  378. CCMPR01840991 addFiller needs to be multithreaded
  379. CCMPR01839568 place_opt_design crash
  380. CCMPR01839093 "ccopt" leaves too many max_tran violation
  381. CCMPR01834721 ecoRoute -fix_drc area to route open nets in area w/o any area limitation
  382. CCMPR01833756 Floorplan code to provide callback registration for floorplan changes (like placement-blockages, tracks)
  383. CCMPR01833617 verify_drc reports false LB min witdh error
  384. CCMPR01829781 Post CTS hold fixing does not fix all violation when min_delay is on module pin
  385. CCMPR01817952 read_stream should also support oasis format
  386. CCMPR01811286 Get huge numbers of TCLCMD-513 warnings when using get_db to query the .clocks attribute of a pin
  387. CCMPR01810483 create_ccopt_clock_tree_spec hangs
  388. CCMPR01807374 verify_drc miss DRCs to diagonal special route
  389. CCMPR01807373 Encounter saveNetlist io port ordering issue
  390. CCMPR01802847 Innovus crashes during delay calculation in addMetalFill
  391. CCMPR01794219 streamOut oasisOut mapfile enhancement needed for mapping SADP and trimmetal fill shapes
  392. CCMPR01792686 Custom shape polygon is not being saved in Common UI and even object attribute is not working on it
  393. CCMPR01790128 Adding via pillar run time issue
  394. CCMPR01789477 write_db/saveDesign does not adequately sanitize comments in constraint files
  395. CCMPR01787945 The summaryReport command is reporting box area for pad cell instead of reporting rectilinear area
  396. CCMPR01776838 get_db pg_nets reports tie nets under pg
  397. CCMPR01772729 Adding -rc_corners option for write_extraction_spec command
  398. CCMPR01758143 editAddTrimMetal should allow pin as argument with layer control rather than selected object
  399. CCMPR01749914 Error TECHLIB-9067 while reading in RAM .lib-File
  400. CCMPR01747858 fixHold estimates not matching with final summary due to old CTE SOCV variable
  401. CCMPR01740416 fcroute serial_pad_routing with star connectivity
  402. CCMPR01740161 Request for a tcl command that will select and attach any object to the cursor
  403. CCMPR01714367 Request way to remove custom layers from the Custom Layer tab of Color Preferences Gui
  404. CCMPR01708063 createPinGroup -spreadPin option does not work as documented
  405. CCMPR01699424 oasisOut: How to record the instance information directly on an attribute 'instName' rather integer attribute 300
  406. CCMPR01682932 time_design not honoring opt_time_design_expanded_view=true
  407. CCMPR01667971 saveDesign takes very long time when setSIMode -skip_tw is in use
  408. CCMPR01665686 The path_group target slack multiplies by a factor of 1000 with each write_db / read_db cycle
  409. CCMPR01613077 OAX gets the layer order wrong from standard via
  410. CCMPR01602674 Issue with drouteStrictlyHonorObsInStandardCell true
  411. CCMPR01567410 Attributes table for numerous selected objects
  412. CCMPR01561575 oasisOut is shifting BUMP locations
  413. CCMPR01554953 isLogCommand not documented or in the help
  414. CCMPR01540198 Enhancement: add command to show a path and name to the currently loaded DB
  415. CCMPR01516053 expandedViews does not work with timeDesign -signoff
  416. CCMPR01465935 createLib should also create cdsinfo.tag file.
  417. CCMPR01370636 Preference settings in Instance Based schematic and Clock Tree Debugger windows
  418. CCMPR01290790 redirect -variable takes long time to complete in Tempus
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement