Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- Warning (10238): Verilog Module Declaration warning at altera_up_av_config_auto_init.v(70): ignored anonymous port(s) indicated by duplicate or dangling comma(s) in the port list for module "altera_up_av_config_auto_init"
- Warning (10037): Verilog HDL or VHDL warning at core_core.v(2080): conditional expression evaluates to a constant
- Warning (10037): Verilog HDL or VHDL warning at core_core.v(2082): conditional expression evaluates to a constant
- Warning (10037): Verilog HDL or VHDL warning at core_core.v(2238): conditional expression evaluates to a constant
- Warning (10037): Verilog HDL or VHDL warning at core_core.v(3066): conditional expression evaluates to a constant
- Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(316): conditional expression evaluates to a constant
- Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(326): conditional expression evaluates to a constant
- Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(336): conditional expression evaluates to a constant
- Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(680): conditional expression evaluates to a constant
- Warning (275011): Block or symbol "GND" of instance "inst7" overlaps another block or symbol
- Warning (275089): Not all bits in bus "GPIO_1[35..1]" are used
- Warning (275008): Primitive "NOT" of instance "inst1" not used
- Warning (10858): Verilog HDL warning at altera_mult_add_rtl.v(642): object systolic_adder_output used but never assigned
- Warning (10858): Verilog HDL warning at altera_mult_add_rtl.v(642): object systolic_adder_output used but never assigned
- Warning (10036): Verilog HDL or VHDL warning at core_pll.v(104): object "video_in_clk" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at core_pll.v(105): object "VGA_CLK" assigned a value but never read
- Warning (10230): Verilog HDL assignment warning at altera_up_av_config_auto_init.v(156): truncated value with size 32 to match size of target (6)
- Warning (10230): Verilog HDL assignment warning at altera_up_av_config_serial_bus_controller.v(260): truncated value with size 32 to match size of target (5)
- Warning (10230): Verilog HDL assignment warning at altera_up_slow_clock_generator.v(128): truncated value with size 32 to match size of target (11)
- Warning (10230): Verilog HDL assignment warning at mean_signal.v(28): truncated value with size 32 to match size of target (17)
- Warning (10230): Verilog HDL assignment warning at mean_signal.v(37): truncated value with size 32 to match size of target (17)
- Warning (10230): Verilog HDL assignment warning at ROM_sine_generator.v(26): truncated value with size 32 to match size of target (16)
- Warning (10230): Verilog HDL assignment warning at ROM_sine_generator.v(27): truncated value with size 32 to match size of target (16)
- Warning (10858): Verilog HDL warning at altera_merlin_width_adapter.sv(259): object in_burstwrap_field used but never assigned
- Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(717): object "aligned_addr" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(718): object "aligned_byte_cnt" assigned a value but never read
- Warning (10030): Net "in_burstwrap_field" at altera_merlin_width_adapter.sv(259) has no driver or initial value, using a default initial value '0'
- Warning (275008): Primitive "NOT" of instance "inst1" not used
- Warning (12030): Port "clk" on the entity instantiation of "DE_Clock_Generator_Audio" is connected to a signal of width 3. The formal width of the signal in the module is 6. The extra bits will be left dangling without any fan-out logic.
- Warning (12030): Port "clk" on the entity instantiation of "DE_Clock_Generator_System" is connected to a signal of width 3. The formal width of the signal in the module is 6. The extra bits will be left dangling without any fan-out logic.
- Warning (12020): Port "jdo" on the entity instantiation of "the_core_core_nios2_oci_itrace" is connected to a signal of width 38. The formal width of the signal in the module is 16. The extra bits will be ignored.
- Warning (12241): 4 hierarchies have connectivity warnings - see the Connectivity Checks report folder
- Warning (13034): The following nodes have both tri-state and non-tri-state drivers
- Warning (13035): Inserted always-enabled tri-state buffer between "AUD_DACDAT" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[23]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[24]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[32]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[31]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[30]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[29]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[28]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[27]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[26]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[25]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[22]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[34]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[33]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[35]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "AUD_DACDAT" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[23]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[24]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[32]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[31]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[30]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[29]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[28]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[27]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[26]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[25]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[22]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[34]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[33]" and its non-tri-state driver.
- Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[35]" and its non-tri-state driver.
- Warning (13004): Presettable and clearable registers converted to equivalent circuits with latches. Registers power-up to an undefined state, and DEVCLRn places the registers in an undefined state.
- Warning (13310): Register "lcd:inst|hitachi_memory_interface:inst11|bank_buffer" is converted into an equivalent circuit using register "lcd:inst|hitachi_memory_interface:inst11|bank_buffer~_emulated" and latch "lcd:inst|hitachi_memory_interface:inst11|bank_buffer~1"
- Warning (13009): TRI or OPNDRN buffers permanently enabled
- Warning (13010): Node "AUD_DACDAT~synth"
- Warning (13010): Node "GPIO_1[23]~synth"
- Warning (13010): Node "GPIO_1[24]~synth"
- Warning (13010): Node "GPIO_1[32]~synth"
- Warning (13010): Node "data[6]~synth"
- Warning (13010): Node "data[5]~synth"
- Warning (13010): Node "data[4]~synth"
- Warning (13010): Node "data[3]~synth"
- Warning (13010): Node "data[2]~synth"
- Warning (13010): Node "data[1]~synth"
- Warning (13010): Node "data[0]~synth"
- Warning (13010): Node "GPIO_1[22]~synth"
- Warning (13010): Node "GPIO_1[34]~synth"
- Warning (13010): Node "GPIO_1[33]~synth"
- Warning (13010): Node "GPIO_1[35]~synth"
- Warning (13010): Node "AUD_DACDAT~synth"
- Warning (13010): Node "GPIO_1[23]~synth"
- Warning (13010): Node "GPIO_1[24]~synth"
- Warning (13010): Node "GPIO_1[32]~synth"
- Warning (13010): Node "data[6]~synth"
- Warning (13010): Node "data[5]~synth"
- Warning (13010): Node "data[4]~synth"
- Warning (13010): Node "data[3]~synth"
- Warning (13010): Node "data[2]~synth"
- Warning (13010): Node "data[1]~synth"
- Warning (13010): Node "data[0]~synth"
- Warning (13010): Node "GPIO_1[22]~synth"
- Warning (13010): Node "GPIO_1[34]~synth"
- Warning (13010): Node "GPIO_1[33]~synth"
- Warning (13010): Node "GPIO_1[35]~synth"
- Warning (13024): Output pins are stuck at VCC or GND
- Warning (13410): Pin "DRAM_CKE" is stuck at VCC
- Warning (13410): Pin "DRAM_CKE" is stuck at VCC
- Warning (13024): Output pins are stuck at VCC or GND
- Warning (13410): Pin "sld_hub:auto_hub|receive[0][0]" is stuck at GND
- Warning (13410): Pin "sld_hub:auto_hub|receive[0][0]" is stuck at GND
- Warning (21074): Design contains 1 input pin(s) that do not drive logic
- Warning (15610): No output dependent on input pin "AUD_ADCDAT"
- Warning (15610): No output dependent on input pin "AUD_ADCDAT"
- Warning (15564): Compensate clock of PLL "core:inst2|core_pll:pll|altpll:DE_Clock_Generator_Audio|pll" has been set to clock1
- Warning (335093): TimeQuest Timing Analyzer is analyzing 1 combinational loops as latches.
- Warning (332043): Overwriting existing clock: altera_reserved_tck
- Warning (332174): Ignored filter at embedded.sdc(49): inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1] could not be matched with a pin
- Warning (332049): Ignored create_generated_clock at embedded.sdc(49): Argument <targets> is an empty collection
- Info (332050): create_generated_clock -name {SDRAM_CLK} -source [get_ports {CLOCK_50}] -master_clock {CLOCK_50} [get_pins {inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1]}]
- Warning (332174): Ignored filter at embedded.sdc(101): pzdyqx* could not be matched with a keeper
- Warning (332049): Ignored set_false_path at embedded.sdc(101): Argument <to> is an empty collection
- Info (332050): set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}]
- Warning (332060): Node: KEY[0] was determined to be a clock but was found without an associated clock assignment.
- Warning (332056): PLL cross checking found inconsistent PLL clock settings:
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_Audio|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
- Warning (176225): Can't pack node lcd:inst|input_sync:inst3|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst8|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst6|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst7|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst4|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst3|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst8|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst6|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst7|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
- Warning (176225): Can't pack node lcd:inst|input_sync:inst4|d_0 to I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
- Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
- Warning (176250): Ignoring invalid fast I/O register assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
- Warning (176251): Ignoring some wildcard destinations of fast I/O register assignments
- Info (176252): Wildcard assignment "Fast Output Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
- Info (176252): Wildcard assignment "Fast Input Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
- Info (176252): Wildcard assignment "Fast Output Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
- Info (176252): Wildcard assignment "Fast Input Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
- Warning (15058): PLL "core:inst2|core_pll:pll|altpll:DE_Clock_Generator_Audio|pll" is in normal or source synchronous mode with output clock "compensate_clock" set to clk[1] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins
- Warning (15064): PLL "core:inst2|core_pll:pll|altpll:DE_Clock_Generator_Audio|pll" output port clk[1] feeds output pin "AUD_XCK" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
- Warning (15705): Ignored locations or region assignments to the following nodes
- Warning (15706): Node "ENET_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_CMD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_CS_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_INT" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_RD_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_RST_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_WR_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "EXT_CLOCK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[18]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[19]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[20]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[21]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_CE_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_OE_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_RST_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_WE_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[18]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[19]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[20]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[21]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[22]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[23]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[24]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[25]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[26]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[27]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[28]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[29]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[30]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[31]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[32]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[33]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[34]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[35]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[18]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[19]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[20]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[21]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "IRDA_RXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "IRDA_TXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "KEY[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "KEY[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_BLON" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_EN" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_ON" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_RS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_RW" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_ADDR[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_ADDR[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_CS_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DACK0_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DACK1_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DREQ0" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DREQ1" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_FSPEED" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_INT0" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_INT1" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_LSPEED" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_RD_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_RST_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_WR_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "PS2_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "PS2_DAT" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_CMD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_DAT" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_DAT3" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TCK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TCS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TDI" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TDO" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_HS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_VS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "UART_RXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "UART_TXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_BLANK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_HS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_SYNC" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_VS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_CMD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_CS_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_DATA[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_INT" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_RD_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_RST_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "ENET_WR_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "EXT_CLOCK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[18]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[19]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[20]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[21]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_ADDR[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_CE_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_DQ[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_OE_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_RST_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "FL_WE_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[18]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[19]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[20]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[21]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[22]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[23]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[24]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[25]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[26]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[27]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[28]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[29]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[30]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[31]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[32]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[33]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[34]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[35]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_0[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[18]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[19]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[20]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[21]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "GPIO_1[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX0[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX1[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX2[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX3[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX4[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX5[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX6[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "HEX7[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "IRDA_RXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "IRDA_TXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "KEY[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "KEY[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_BLON" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_EN" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_ON" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_RS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LCD_RW" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDG[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_ADDR[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_ADDR[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_CS_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DACK0_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DACK1_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DATA[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DREQ0" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_DREQ1" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_FSPEED" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_INT0" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_INT1" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_LSPEED" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_RD_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_RST_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "OTG_WR_N" is assigned to location or region, but does not exist in design
- Warning (15706): Node "PS2_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "PS2_DAT" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_CMD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_DAT" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SD_DAT3" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[10]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[11]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[12]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[13]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[14]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[15]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[16]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[17]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TCK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TCS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TDI" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TDO" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_DATA[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_HS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "TD_VS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "UART_RXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "UART_TXD" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_BLANK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_B[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_CLK" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_G[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_HS" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[0]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[1]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[2]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[3]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[4]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[5]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[6]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[7]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[8]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_R[9]" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_SYNC" is assigned to location or region, but does not exist in design
- Warning (15706): Node "VGA_VS" is assigned to location or region, but does not exist in design
- Warning (306006): Found 106 output pins without output pin load capacitance assignment
- Info (306007): Pin "GPIO_1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "I2C_SDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_BCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_ADCLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_DACLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_DACDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_XCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "TD_RESET" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_LB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_UB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CKE" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_RAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_BA_1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_BA_0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_LDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_UDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "I2C_SCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "I2C_SDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_BCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_ADCLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_DACLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_DACDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "GPIO_1[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "AUD_XCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "TD_RESET" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_LB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_UB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CKE" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_CS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_RAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_BA_1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_BA_0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_LDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_UDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "I2C_SCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "DRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "LEDG[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Info (306007): Pin "SRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
- Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
- Warning (169064): Following 19 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
- Info (169065): Pin GPIO_1[1] has a permanently disabled output enable
- Info (169065): Pin AUD_BCLK has a permanently disabled output enable
- Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable
- Info (169065): Pin AUD_DACLRCK has a permanently disabled output enable
- Info (169065): Pin AUD_DACDAT has a permanently enabled output enable
- Info (169065): Pin GPIO_1[23] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[24] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[32] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[31] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[30] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[29] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[28] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[27] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[26] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[25] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[22] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[34] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[33] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[35] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[1] has a permanently disabled output enable
- Info (169065): Pin AUD_BCLK has a permanently disabled output enable
- Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable
- Info (169065): Pin AUD_DACLRCK has a permanently disabled output enable
- Info (169065): Pin AUD_DACDAT has a permanently enabled output enable
- Info (169065): Pin GPIO_1[23] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[24] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[32] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[31] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[30] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[29] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[28] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[27] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[26] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[25] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[22] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[34] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[33] has a permanently enabled output enable
- Info (169065): Pin GPIO_1[35] has a permanently enabled output enable
- Warning (335093): TimeQuest Timing Analyzer is analyzing 1 combinational loops as latches.
- Warning (332043): Overwriting existing clock: altera_reserved_tck
- Warning (332174): Ignored filter at embedded.sdc(49): inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1] could not be matched with a pin
- Warning (332049): Ignored create_generated_clock at embedded.sdc(49): Argument <targets> is an empty collection
- Info (332050): create_generated_clock -name {SDRAM_CLK} -source [get_ports {CLOCK_50}] -master_clock {CLOCK_50} [get_pins {inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1]}]
- Warning (332174): Ignored filter at embedded.sdc(101): pzdyqx* could not be matched with a keeper
- Warning (332049): Ignored set_false_path at embedded.sdc(101): Argument <to> is an empty collection
- Info (332050): set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}]
- Warning (332060): Node: KEY[0] was determined to be a clock but was found without an associated clock assignment.
- Warning (332056): PLL cross checking found inconsistent PLL clock settings:
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_Audio|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
- Warning (332060): Node: KEY[0] was determined to be a clock but was found without an associated clock assignment.
- Warning (332056): PLL cross checking found inconsistent PLL clock settings:
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
- Warning (332056): Node: inst2|pll|DE_Clock_Generator_Audio|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
- Error (204004): Can't generate output netlist file -- port "GPIO_1" of the top-level design entity is an unsupported type
- Error: Quartus II 32-bit EDA Netlist Writer was unsuccessful. 1 error, 0 warnings
- Error: Peak virtual memory: 401 megabytes
- Error: Processing ended: Fri May 23 10:21:31 2014
- Error: Elapsed time: 00:00:02
- Error: Total CPU time (on all processors): 00:00:01
- Error: Peak virtual memory: 401 megabytes
- Error: Processing ended: Fri May 23 10:21:31 2014
- Error: Elapsed time: 00:00:02
- Error: Total CPU time (on all processors): 00:00:01
- Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 439 warnings
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement