Advertisement
Guest User

compresult

a guest
May 23rd, 2014
946
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 112.00 KB | None | 0 0
  1. Warning (10238): Verilog Module Declaration warning at altera_up_av_config_auto_init.v(70): ignored anonymous port(s) indicated by duplicate or dangling comma(s) in the port list for module "altera_up_av_config_auto_init"
  2. Warning (10037): Verilog HDL or VHDL warning at core_core.v(2080): conditional expression evaluates to a constant
  3. Warning (10037): Verilog HDL or VHDL warning at core_core.v(2082): conditional expression evaluates to a constant
  4. Warning (10037): Verilog HDL or VHDL warning at core_core.v(2238): conditional expression evaluates to a constant
  5. Warning (10037): Verilog HDL or VHDL warning at core_core.v(3066): conditional expression evaluates to a constant
  6. Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(316): conditional expression evaluates to a constant
  7. Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(326): conditional expression evaluates to a constant
  8. Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(336): conditional expression evaluates to a constant
  9. Warning (10037): Verilog HDL or VHDL warning at core_dram_8m.v(680): conditional expression evaluates to a constant
  10. Warning (275011): Block or symbol "GND" of instance "inst7" overlaps another block or symbol
  11. Warning (275089): Not all bits in bus "GPIO_1[35..1]" are used
  12. Warning (275008): Primitive "NOT" of instance "inst1" not used
  13. Warning (10858): Verilog HDL warning at altera_mult_add_rtl.v(642): object systolic_adder_output used but never assigned
  14. Warning (10858): Verilog HDL warning at altera_mult_add_rtl.v(642): object systolic_adder_output used but never assigned
  15. Warning (10036): Verilog HDL or VHDL warning at core_pll.v(104): object "video_in_clk" assigned a value but never read
  16. Warning (10036): Verilog HDL or VHDL warning at core_pll.v(105): object "VGA_CLK" assigned a value but never read
  17. Warning (10230): Verilog HDL assignment warning at altera_up_av_config_auto_init.v(156): truncated value with size 32 to match size of target (6)
  18. Warning (10230): Verilog HDL assignment warning at altera_up_av_config_serial_bus_controller.v(260): truncated value with size 32 to match size of target (5)
  19. Warning (10230): Verilog HDL assignment warning at altera_up_slow_clock_generator.v(128): truncated value with size 32 to match size of target (11)
  20. Warning (10230): Verilog HDL assignment warning at mean_signal.v(28): truncated value with size 32 to match size of target (17)
  21. Warning (10230): Verilog HDL assignment warning at mean_signal.v(37): truncated value with size 32 to match size of target (17)
  22. Warning (10230): Verilog HDL assignment warning at ROM_sine_generator.v(26): truncated value with size 32 to match size of target (16)
  23. Warning (10230): Verilog HDL assignment warning at ROM_sine_generator.v(27): truncated value with size 32 to match size of target (16)
  24. Warning (10858): Verilog HDL warning at altera_merlin_width_adapter.sv(259): object in_burstwrap_field used but never assigned
  25. Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(717): object "aligned_addr" assigned a value but never read
  26. Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(718): object "aligned_byte_cnt" assigned a value but never read
  27. Warning (10030): Net "in_burstwrap_field" at altera_merlin_width_adapter.sv(259) has no driver or initial value, using a default initial value '0'
  28. Warning (275008): Primitive "NOT" of instance "inst1" not used
  29. Warning (12030): Port "clk" on the entity instantiation of "DE_Clock_Generator_Audio" is connected to a signal of width 3. The formal width of the signal in the module is 6. The extra bits will be left dangling without any fan-out logic.
  30. Warning (12030): Port "clk" on the entity instantiation of "DE_Clock_Generator_System" is connected to a signal of width 3. The formal width of the signal in the module is 6. The extra bits will be left dangling without any fan-out logic.
  31. Warning (12020): Port "jdo" on the entity instantiation of "the_core_core_nios2_oci_itrace" is connected to a signal of width 38. The formal width of the signal in the module is 16. The extra bits will be ignored.
  32. Warning (12241): 4 hierarchies have connectivity warnings - see the Connectivity Checks report folder
  33. Warning (13034): The following nodes have both tri-state and non-tri-state drivers
  34. Warning (13035): Inserted always-enabled tri-state buffer between "AUD_DACDAT" and its non-tri-state driver.
  35. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[23]" and its non-tri-state driver.
  36. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[24]" and its non-tri-state driver.
  37. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[32]" and its non-tri-state driver.
  38. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[31]" and its non-tri-state driver.
  39. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[30]" and its non-tri-state driver.
  40. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[29]" and its non-tri-state driver.
  41. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[28]" and its non-tri-state driver.
  42. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[27]" and its non-tri-state driver.
  43. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[26]" and its non-tri-state driver.
  44. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[25]" and its non-tri-state driver.
  45. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[22]" and its non-tri-state driver.
  46. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[34]" and its non-tri-state driver.
  47. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[33]" and its non-tri-state driver.
  48. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[35]" and its non-tri-state driver.
  49. Warning (13035): Inserted always-enabled tri-state buffer between "AUD_DACDAT" and its non-tri-state driver.
  50. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[23]" and its non-tri-state driver.
  51. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[24]" and its non-tri-state driver.
  52. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[32]" and its non-tri-state driver.
  53. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[31]" and its non-tri-state driver.
  54. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[30]" and its non-tri-state driver.
  55. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[29]" and its non-tri-state driver.
  56. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[28]" and its non-tri-state driver.
  57. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[27]" and its non-tri-state driver.
  58. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[26]" and its non-tri-state driver.
  59. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[25]" and its non-tri-state driver.
  60. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[22]" and its non-tri-state driver.
  61. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[34]" and its non-tri-state driver.
  62. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[33]" and its non-tri-state driver.
  63. Warning (13035): Inserted always-enabled tri-state buffer between "GPIO_1[35]" and its non-tri-state driver.
  64. Warning (13004): Presettable and clearable registers converted to equivalent circuits with latches. Registers power-up to an undefined state, and DEVCLRn places the registers in an undefined state.
  65. Warning (13310): Register "lcd:inst|hitachi_memory_interface:inst11|bank_buffer" is converted into an equivalent circuit using register "lcd:inst|hitachi_memory_interface:inst11|bank_buffer~_emulated" and latch "lcd:inst|hitachi_memory_interface:inst11|bank_buffer~1"
  66. Warning (13009): TRI or OPNDRN buffers permanently enabled
  67. Warning (13010): Node "AUD_DACDAT~synth"
  68. Warning (13010): Node "GPIO_1[23]~synth"
  69. Warning (13010): Node "GPIO_1[24]~synth"
  70. Warning (13010): Node "GPIO_1[32]~synth"
  71. Warning (13010): Node "data[6]~synth"
  72. Warning (13010): Node "data[5]~synth"
  73. Warning (13010): Node "data[4]~synth"
  74. Warning (13010): Node "data[3]~synth"
  75. Warning (13010): Node "data[2]~synth"
  76. Warning (13010): Node "data[1]~synth"
  77. Warning (13010): Node "data[0]~synth"
  78. Warning (13010): Node "GPIO_1[22]~synth"
  79. Warning (13010): Node "GPIO_1[34]~synth"
  80. Warning (13010): Node "GPIO_1[33]~synth"
  81. Warning (13010): Node "GPIO_1[35]~synth"
  82. Warning (13010): Node "AUD_DACDAT~synth"
  83. Warning (13010): Node "GPIO_1[23]~synth"
  84. Warning (13010): Node "GPIO_1[24]~synth"
  85. Warning (13010): Node "GPIO_1[32]~synth"
  86. Warning (13010): Node "data[6]~synth"
  87. Warning (13010): Node "data[5]~synth"
  88. Warning (13010): Node "data[4]~synth"
  89. Warning (13010): Node "data[3]~synth"
  90. Warning (13010): Node "data[2]~synth"
  91. Warning (13010): Node "data[1]~synth"
  92. Warning (13010): Node "data[0]~synth"
  93. Warning (13010): Node "GPIO_1[22]~synth"
  94. Warning (13010): Node "GPIO_1[34]~synth"
  95. Warning (13010): Node "GPIO_1[33]~synth"
  96. Warning (13010): Node "GPIO_1[35]~synth"
  97. Warning (13024): Output pins are stuck at VCC or GND
  98. Warning (13410): Pin "DRAM_CKE" is stuck at VCC
  99. Warning (13410): Pin "DRAM_CKE" is stuck at VCC
  100. Warning (13024): Output pins are stuck at VCC or GND
  101. Warning (13410): Pin "sld_hub:auto_hub|receive[0][0]" is stuck at GND
  102. Warning (13410): Pin "sld_hub:auto_hub|receive[0][0]" is stuck at GND
  103. Warning (21074): Design contains 1 input pin(s) that do not drive logic
  104. Warning (15610): No output dependent on input pin "AUD_ADCDAT"
  105. Warning (15610): No output dependent on input pin "AUD_ADCDAT"
  106. Warning (15564): Compensate clock of PLL "core:inst2|core_pll:pll|altpll:DE_Clock_Generator_Audio|pll" has been set to clock1
  107. Warning (335093): TimeQuest Timing Analyzer is analyzing 1 combinational loops as latches.
  108. Warning (332043): Overwriting existing clock: altera_reserved_tck
  109. Warning (332174): Ignored filter at embedded.sdc(49): inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1] could not be matched with a pin
  110. Warning (332049): Ignored create_generated_clock at embedded.sdc(49): Argument <targets> is an empty collection
  111. Info (332050): create_generated_clock -name {SDRAM_CLK} -source [get_ports {CLOCK_50}] -master_clock {CLOCK_50} [get_pins {inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1]}]
  112. Warning (332174): Ignored filter at embedded.sdc(101): pzdyqx* could not be matched with a keeper
  113. Warning (332049): Ignored set_false_path at embedded.sdc(101): Argument <to> is an empty collection
  114. Info (332050): set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}]
  115. Warning (332060): Node: KEY[0] was determined to be a clock but was found without an associated clock assignment.
  116. Warning (332056): PLL cross checking found inconsistent PLL clock settings:
  117. Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
  118. Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
  119. Warning (332056): Node: inst2|pll|DE_Clock_Generator_Audio|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
  120. Warning (176225): Can't pack node lcd:inst|input_sync:inst3|d_0 to I/O pin
  121. Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
  122. Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
  123. Warning (176225): Can't pack node lcd:inst|input_sync:inst8|d_0 to I/O pin
  124. Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
  125. Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
  126. Warning (176225): Can't pack node lcd:inst|input_sync:inst6|d_0 to I/O pin
  127. Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
  128. Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
  129. Warning (176225): Can't pack node lcd:inst|input_sync:inst7|d_0 to I/O pin
  130. Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
  131. Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
  132. Warning (176225): Can't pack node lcd:inst|input_sync:inst4|d_0 to I/O pin
  133. Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
  134. Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
  135. Warning (176225): Can't pack node lcd:inst|input_sync:inst3|d_0 to I/O pin
  136. Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
  137. Warning (176228): Can't pack node lcd:inst|input_sync:inst3|d_0 and I/O node SW[1] -- I/O node is a dedicated I/O pin
  138. Warning (176225): Can't pack node lcd:inst|input_sync:inst8|d_0 to I/O pin
  139. Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
  140. Warning (176228): Can't pack node lcd:inst|input_sync:inst8|d_0 and I/O node SW[0] -- I/O node is a dedicated I/O pin
  141. Warning (176225): Can't pack node lcd:inst|input_sync:inst6|d_0 to I/O pin
  142. Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
  143. Warning (176228): Can't pack node lcd:inst|input_sync:inst6|d_0 and I/O node SW[3] -- I/O node is a dedicated I/O pin
  144. Warning (176225): Can't pack node lcd:inst|input_sync:inst7|d_0 to I/O pin
  145. Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
  146. Warning (176228): Can't pack node lcd:inst|input_sync:inst7|d_0 and I/O node SW[2] -- I/O node is a dedicated I/O pin
  147. Warning (176225): Can't pack node lcd:inst|input_sync:inst4|d_0 to I/O pin
  148. Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
  149. Warning (176228): Can't pack node lcd:inst|input_sync:inst4|d_0 and I/O node SW[4] -- I/O node is a dedicated I/O pin
  150. Warning (176250): Ignoring invalid fast I/O register assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
  151. Warning (176251): Ignoring some wildcard destinations of fast I/O register assignments
  152. Info (176252): Wildcard assignment "Fast Output Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
  153. Info (176252): Wildcard assignment "Fast Input Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
  154. Info (176252): Wildcard assignment "Fast Output Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
  155. Info (176252): Wildcard assignment "Fast Input Register=ON" to "*" matches multiple destination nodes -- some destinations are not valid targets for this assignment
  156. Warning (15058): PLL "core:inst2|core_pll:pll|altpll:DE_Clock_Generator_Audio|pll" is in normal or source synchronous mode with output clock "compensate_clock" set to clk[1] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins
  157. Warning (15064): PLL "core:inst2|core_pll:pll|altpll:DE_Clock_Generator_Audio|pll" output port clk[1] feeds output pin "AUD_XCK" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
  158. Warning (15705): Ignored locations or region assignments to the following nodes
  159. Warning (15706): Node "ENET_CLK" is assigned to location or region, but does not exist in design
  160. Warning (15706): Node "ENET_CMD" is assigned to location or region, but does not exist in design
  161. Warning (15706): Node "ENET_CS_N" is assigned to location or region, but does not exist in design
  162. Warning (15706): Node "ENET_DATA[0]" is assigned to location or region, but does not exist in design
  163. Warning (15706): Node "ENET_DATA[10]" is assigned to location or region, but does not exist in design
  164. Warning (15706): Node "ENET_DATA[11]" is assigned to location or region, but does not exist in design
  165. Warning (15706): Node "ENET_DATA[12]" is assigned to location or region, but does not exist in design
  166. Warning (15706): Node "ENET_DATA[13]" is assigned to location or region, but does not exist in design
  167. Warning (15706): Node "ENET_DATA[14]" is assigned to location or region, but does not exist in design
  168. Warning (15706): Node "ENET_DATA[15]" is assigned to location or region, but does not exist in design
  169. Warning (15706): Node "ENET_DATA[1]" is assigned to location or region, but does not exist in design
  170. Warning (15706): Node "ENET_DATA[2]" is assigned to location or region, but does not exist in design
  171. Warning (15706): Node "ENET_DATA[3]" is assigned to location or region, but does not exist in design
  172. Warning (15706): Node "ENET_DATA[4]" is assigned to location or region, but does not exist in design
  173. Warning (15706): Node "ENET_DATA[5]" is assigned to location or region, but does not exist in design
  174. Warning (15706): Node "ENET_DATA[6]" is assigned to location or region, but does not exist in design
  175. Warning (15706): Node "ENET_DATA[7]" is assigned to location or region, but does not exist in design
  176. Warning (15706): Node "ENET_DATA[8]" is assigned to location or region, but does not exist in design
  177. Warning (15706): Node "ENET_DATA[9]" is assigned to location or region, but does not exist in design
  178. Warning (15706): Node "ENET_INT" is assigned to location or region, but does not exist in design
  179. Warning (15706): Node "ENET_RD_N" is assigned to location or region, but does not exist in design
  180. Warning (15706): Node "ENET_RST_N" is assigned to location or region, but does not exist in design
  181. Warning (15706): Node "ENET_WR_N" is assigned to location or region, but does not exist in design
  182. Warning (15706): Node "EXT_CLOCK" is assigned to location or region, but does not exist in design
  183. Warning (15706): Node "FL_ADDR[0]" is assigned to location or region, but does not exist in design
  184. Warning (15706): Node "FL_ADDR[10]" is assigned to location or region, but does not exist in design
  185. Warning (15706): Node "FL_ADDR[11]" is assigned to location or region, but does not exist in design
  186. Warning (15706): Node "FL_ADDR[12]" is assigned to location or region, but does not exist in design
  187. Warning (15706): Node "FL_ADDR[13]" is assigned to location or region, but does not exist in design
  188. Warning (15706): Node "FL_ADDR[14]" is assigned to location or region, but does not exist in design
  189. Warning (15706): Node "FL_ADDR[15]" is assigned to location or region, but does not exist in design
  190. Warning (15706): Node "FL_ADDR[16]" is assigned to location or region, but does not exist in design
  191. Warning (15706): Node "FL_ADDR[17]" is assigned to location or region, but does not exist in design
  192. Warning (15706): Node "FL_ADDR[18]" is assigned to location or region, but does not exist in design
  193. Warning (15706): Node "FL_ADDR[19]" is assigned to location or region, but does not exist in design
  194. Warning (15706): Node "FL_ADDR[1]" is assigned to location or region, but does not exist in design
  195. Warning (15706): Node "FL_ADDR[20]" is assigned to location or region, but does not exist in design
  196. Warning (15706): Node "FL_ADDR[21]" is assigned to location or region, but does not exist in design
  197. Warning (15706): Node "FL_ADDR[2]" is assigned to location or region, but does not exist in design
  198. Warning (15706): Node "FL_ADDR[3]" is assigned to location or region, but does not exist in design
  199. Warning (15706): Node "FL_ADDR[4]" is assigned to location or region, but does not exist in design
  200. Warning (15706): Node "FL_ADDR[5]" is assigned to location or region, but does not exist in design
  201. Warning (15706): Node "FL_ADDR[6]" is assigned to location or region, but does not exist in design
  202. Warning (15706): Node "FL_ADDR[7]" is assigned to location or region, but does not exist in design
  203. Warning (15706): Node "FL_ADDR[8]" is assigned to location or region, but does not exist in design
  204. Warning (15706): Node "FL_ADDR[9]" is assigned to location or region, but does not exist in design
  205. Warning (15706): Node "FL_CE_N" is assigned to location or region, but does not exist in design
  206. Warning (15706): Node "FL_DQ[0]" is assigned to location or region, but does not exist in design
  207. Warning (15706): Node "FL_DQ[1]" is assigned to location or region, but does not exist in design
  208. Warning (15706): Node "FL_DQ[2]" is assigned to location or region, but does not exist in design
  209. Warning (15706): Node "FL_DQ[3]" is assigned to location or region, but does not exist in design
  210. Warning (15706): Node "FL_DQ[4]" is assigned to location or region, but does not exist in design
  211. Warning (15706): Node "FL_DQ[5]" is assigned to location or region, but does not exist in design
  212. Warning (15706): Node "FL_DQ[6]" is assigned to location or region, but does not exist in design
  213. Warning (15706): Node "FL_DQ[7]" is assigned to location or region, but does not exist in design
  214. Warning (15706): Node "FL_OE_N" is assigned to location or region, but does not exist in design
  215. Warning (15706): Node "FL_RST_N" is assigned to location or region, but does not exist in design
  216. Warning (15706): Node "FL_WE_N" is assigned to location or region, but does not exist in design
  217. Warning (15706): Node "GPIO_0[0]" is assigned to location or region, but does not exist in design
  218. Warning (15706): Node "GPIO_0[10]" is assigned to location or region, but does not exist in design
  219. Warning (15706): Node "GPIO_0[11]" is assigned to location or region, but does not exist in design
  220. Warning (15706): Node "GPIO_0[12]" is assigned to location or region, but does not exist in design
  221. Warning (15706): Node "GPIO_0[13]" is assigned to location or region, but does not exist in design
  222. Warning (15706): Node "GPIO_0[14]" is assigned to location or region, but does not exist in design
  223. Warning (15706): Node "GPIO_0[15]" is assigned to location or region, but does not exist in design
  224. Warning (15706): Node "GPIO_0[16]" is assigned to location or region, but does not exist in design
  225. Warning (15706): Node "GPIO_0[17]" is assigned to location or region, but does not exist in design
  226. Warning (15706): Node "GPIO_0[18]" is assigned to location or region, but does not exist in design
  227. Warning (15706): Node "GPIO_0[19]" is assigned to location or region, but does not exist in design
  228. Warning (15706): Node "GPIO_0[1]" is assigned to location or region, but does not exist in design
  229. Warning (15706): Node "GPIO_0[20]" is assigned to location or region, but does not exist in design
  230. Warning (15706): Node "GPIO_0[21]" is assigned to location or region, but does not exist in design
  231. Warning (15706): Node "GPIO_0[22]" is assigned to location or region, but does not exist in design
  232. Warning (15706): Node "GPIO_0[23]" is assigned to location or region, but does not exist in design
  233. Warning (15706): Node "GPIO_0[24]" is assigned to location or region, but does not exist in design
  234. Warning (15706): Node "GPIO_0[25]" is assigned to location or region, but does not exist in design
  235. Warning (15706): Node "GPIO_0[26]" is assigned to location or region, but does not exist in design
  236. Warning (15706): Node "GPIO_0[27]" is assigned to location or region, but does not exist in design
  237. Warning (15706): Node "GPIO_0[28]" is assigned to location or region, but does not exist in design
  238. Warning (15706): Node "GPIO_0[29]" is assigned to location or region, but does not exist in design
  239. Warning (15706): Node "GPIO_0[2]" is assigned to location or region, but does not exist in design
  240. Warning (15706): Node "GPIO_0[30]" is assigned to location or region, but does not exist in design
  241. Warning (15706): Node "GPIO_0[31]" is assigned to location or region, but does not exist in design
  242. Warning (15706): Node "GPIO_0[32]" is assigned to location or region, but does not exist in design
  243. Warning (15706): Node "GPIO_0[33]" is assigned to location or region, but does not exist in design
  244. Warning (15706): Node "GPIO_0[34]" is assigned to location or region, but does not exist in design
  245. Warning (15706): Node "GPIO_0[35]" is assigned to location or region, but does not exist in design
  246. Warning (15706): Node "GPIO_0[3]" is assigned to location or region, but does not exist in design
  247. Warning (15706): Node "GPIO_0[4]" is assigned to location or region, but does not exist in design
  248. Warning (15706): Node "GPIO_0[5]" is assigned to location or region, but does not exist in design
  249. Warning (15706): Node "GPIO_0[6]" is assigned to location or region, but does not exist in design
  250. Warning (15706): Node "GPIO_0[7]" is assigned to location or region, but does not exist in design
  251. Warning (15706): Node "GPIO_0[8]" is assigned to location or region, but does not exist in design
  252. Warning (15706): Node "GPIO_0[9]" is assigned to location or region, but does not exist in design
  253. Warning (15706): Node "GPIO_1[0]" is assigned to location or region, but does not exist in design
  254. Warning (15706): Node "GPIO_1[10]" is assigned to location or region, but does not exist in design
  255. Warning (15706): Node "GPIO_1[11]" is assigned to location or region, but does not exist in design
  256. Warning (15706): Node "GPIO_1[12]" is assigned to location or region, but does not exist in design
  257. Warning (15706): Node "GPIO_1[13]" is assigned to location or region, but does not exist in design
  258. Warning (15706): Node "GPIO_1[14]" is assigned to location or region, but does not exist in design
  259. Warning (15706): Node "GPIO_1[15]" is assigned to location or region, but does not exist in design
  260. Warning (15706): Node "GPIO_1[16]" is assigned to location or region, but does not exist in design
  261. Warning (15706): Node "GPIO_1[17]" is assigned to location or region, but does not exist in design
  262. Warning (15706): Node "GPIO_1[18]" is assigned to location or region, but does not exist in design
  263. Warning (15706): Node "GPIO_1[19]" is assigned to location or region, but does not exist in design
  264. Warning (15706): Node "GPIO_1[20]" is assigned to location or region, but does not exist in design
  265. Warning (15706): Node "GPIO_1[21]" is assigned to location or region, but does not exist in design
  266. Warning (15706): Node "GPIO_1[2]" is assigned to location or region, but does not exist in design
  267. Warning (15706): Node "GPIO_1[4]" is assigned to location or region, but does not exist in design
  268. Warning (15706): Node "GPIO_1[6]" is assigned to location or region, but does not exist in design
  269. Warning (15706): Node "GPIO_1[7]" is assigned to location or region, but does not exist in design
  270. Warning (15706): Node "GPIO_1[8]" is assigned to location or region, but does not exist in design
  271. Warning (15706): Node "GPIO_1[9]" is assigned to location or region, but does not exist in design
  272. Warning (15706): Node "HEX0[0]" is assigned to location or region, but does not exist in design
  273. Warning (15706): Node "HEX0[1]" is assigned to location or region, but does not exist in design
  274. Warning (15706): Node "HEX0[2]" is assigned to location or region, but does not exist in design
  275. Warning (15706): Node "HEX0[3]" is assigned to location or region, but does not exist in design
  276. Warning (15706): Node "HEX0[4]" is assigned to location or region, but does not exist in design
  277. Warning (15706): Node "HEX0[5]" is assigned to location or region, but does not exist in design
  278. Warning (15706): Node "HEX0[6]" is assigned to location or region, but does not exist in design
  279. Warning (15706): Node "HEX1[0]" is assigned to location or region, but does not exist in design
  280. Warning (15706): Node "HEX1[1]" is assigned to location or region, but does not exist in design
  281. Warning (15706): Node "HEX1[2]" is assigned to location or region, but does not exist in design
  282. Warning (15706): Node "HEX1[3]" is assigned to location or region, but does not exist in design
  283. Warning (15706): Node "HEX1[4]" is assigned to location or region, but does not exist in design
  284. Warning (15706): Node "HEX1[5]" is assigned to location or region, but does not exist in design
  285. Warning (15706): Node "HEX1[6]" is assigned to location or region, but does not exist in design
  286. Warning (15706): Node "HEX2[0]" is assigned to location or region, but does not exist in design
  287. Warning (15706): Node "HEX2[1]" is assigned to location or region, but does not exist in design
  288. Warning (15706): Node "HEX2[2]" is assigned to location or region, but does not exist in design
  289. Warning (15706): Node "HEX2[3]" is assigned to location or region, but does not exist in design
  290. Warning (15706): Node "HEX2[4]" is assigned to location or region, but does not exist in design
  291. Warning (15706): Node "HEX2[5]" is assigned to location or region, but does not exist in design
  292. Warning (15706): Node "HEX2[6]" is assigned to location or region, but does not exist in design
  293. Warning (15706): Node "HEX3[0]" is assigned to location or region, but does not exist in design
  294. Warning (15706): Node "HEX3[1]" is assigned to location or region, but does not exist in design
  295. Warning (15706): Node "HEX3[2]" is assigned to location or region, but does not exist in design
  296. Warning (15706): Node "HEX3[3]" is assigned to location or region, but does not exist in design
  297. Warning (15706): Node "HEX3[4]" is assigned to location or region, but does not exist in design
  298. Warning (15706): Node "HEX3[5]" is assigned to location or region, but does not exist in design
  299. Warning (15706): Node "HEX3[6]" is assigned to location or region, but does not exist in design
  300. Warning (15706): Node "HEX4[0]" is assigned to location or region, but does not exist in design
  301. Warning (15706): Node "HEX4[1]" is assigned to location or region, but does not exist in design
  302. Warning (15706): Node "HEX4[2]" is assigned to location or region, but does not exist in design
  303. Warning (15706): Node "HEX4[3]" is assigned to location or region, but does not exist in design
  304. Warning (15706): Node "HEX4[4]" is assigned to location or region, but does not exist in design
  305. Warning (15706): Node "HEX4[5]" is assigned to location or region, but does not exist in design
  306. Warning (15706): Node "HEX4[6]" is assigned to location or region, but does not exist in design
  307. Warning (15706): Node "HEX5[0]" is assigned to location or region, but does not exist in design
  308. Warning (15706): Node "HEX5[1]" is assigned to location or region, but does not exist in design
  309. Warning (15706): Node "HEX5[2]" is assigned to location or region, but does not exist in design
  310. Warning (15706): Node "HEX5[3]" is assigned to location or region, but does not exist in design
  311. Warning (15706): Node "HEX5[4]" is assigned to location or region, but does not exist in design
  312. Warning (15706): Node "HEX5[5]" is assigned to location or region, but does not exist in design
  313. Warning (15706): Node "HEX5[6]" is assigned to location or region, but does not exist in design
  314. Warning (15706): Node "HEX6[0]" is assigned to location or region, but does not exist in design
  315. Warning (15706): Node "HEX6[1]" is assigned to location or region, but does not exist in design
  316. Warning (15706): Node "HEX6[2]" is assigned to location or region, but does not exist in design
  317. Warning (15706): Node "HEX6[3]" is assigned to location or region, but does not exist in design
  318. Warning (15706): Node "HEX6[4]" is assigned to location or region, but does not exist in design
  319. Warning (15706): Node "HEX6[5]" is assigned to location or region, but does not exist in design
  320. Warning (15706): Node "HEX6[6]" is assigned to location or region, but does not exist in design
  321. Warning (15706): Node "HEX7[0]" is assigned to location or region, but does not exist in design
  322. Warning (15706): Node "HEX7[1]" is assigned to location or region, but does not exist in design
  323. Warning (15706): Node "HEX7[2]" is assigned to location or region, but does not exist in design
  324. Warning (15706): Node "HEX7[3]" is assigned to location or region, but does not exist in design
  325. Warning (15706): Node "HEX7[4]" is assigned to location or region, but does not exist in design
  326. Warning (15706): Node "HEX7[5]" is assigned to location or region, but does not exist in design
  327. Warning (15706): Node "HEX7[6]" is assigned to location or region, but does not exist in design
  328. Warning (15706): Node "IRDA_RXD" is assigned to location or region, but does not exist in design
  329. Warning (15706): Node "IRDA_TXD" is assigned to location or region, but does not exist in design
  330. Warning (15706): Node "KEY[2]" is assigned to location or region, but does not exist in design
  331. Warning (15706): Node "KEY[3]" is assigned to location or region, but does not exist in design
  332. Warning (15706): Node "LCD_BLON" is assigned to location or region, but does not exist in design
  333. Warning (15706): Node "LCD_DATA[0]" is assigned to location or region, but does not exist in design
  334. Warning (15706): Node "LCD_DATA[1]" is assigned to location or region, but does not exist in design
  335. Warning (15706): Node "LCD_DATA[2]" is assigned to location or region, but does not exist in design
  336. Warning (15706): Node "LCD_DATA[3]" is assigned to location or region, but does not exist in design
  337. Warning (15706): Node "LCD_DATA[4]" is assigned to location or region, but does not exist in design
  338. Warning (15706): Node "LCD_DATA[5]" is assigned to location or region, but does not exist in design
  339. Warning (15706): Node "LCD_DATA[6]" is assigned to location or region, but does not exist in design
  340. Warning (15706): Node "LCD_DATA[7]" is assigned to location or region, but does not exist in design
  341. Warning (15706): Node "LCD_EN" is assigned to location or region, but does not exist in design
  342. Warning (15706): Node "LCD_ON" is assigned to location or region, but does not exist in design
  343. Warning (15706): Node "LCD_RS" is assigned to location or region, but does not exist in design
  344. Warning (15706): Node "LCD_RW" is assigned to location or region, but does not exist in design
  345. Warning (15706): Node "LEDG[4]" is assigned to location or region, but does not exist in design
  346. Warning (15706): Node "LEDG[5]" is assigned to location or region, but does not exist in design
  347. Warning (15706): Node "LEDG[6]" is assigned to location or region, but does not exist in design
  348. Warning (15706): Node "LEDG[7]" is assigned to location or region, but does not exist in design
  349. Warning (15706): Node "LEDG[8]" is assigned to location or region, but does not exist in design
  350. Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design
  351. Warning (15706): Node "LEDR[10]" is assigned to location or region, but does not exist in design
  352. Warning (15706): Node "LEDR[11]" is assigned to location or region, but does not exist in design
  353. Warning (15706): Node "LEDR[12]" is assigned to location or region, but does not exist in design
  354. Warning (15706): Node "LEDR[13]" is assigned to location or region, but does not exist in design
  355. Warning (15706): Node "LEDR[14]" is assigned to location or region, but does not exist in design
  356. Warning (15706): Node "LEDR[15]" is assigned to location or region, but does not exist in design
  357. Warning (15706): Node "LEDR[16]" is assigned to location or region, but does not exist in design
  358. Warning (15706): Node "LEDR[17]" is assigned to location or region, but does not exist in design
  359. Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design
  360. Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design
  361. Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design
  362. Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design
  363. Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design
  364. Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design
  365. Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design
  366. Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design
  367. Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design
  368. Warning (15706): Node "OTG_ADDR[0]" is assigned to location or region, but does not exist in design
  369. Warning (15706): Node "OTG_ADDR[1]" is assigned to location or region, but does not exist in design
  370. Warning (15706): Node "OTG_CS_N" is assigned to location or region, but does not exist in design
  371. Warning (15706): Node "OTG_DACK0_N" is assigned to location or region, but does not exist in design
  372. Warning (15706): Node "OTG_DACK1_N" is assigned to location or region, but does not exist in design
  373. Warning (15706): Node "OTG_DATA[0]" is assigned to location or region, but does not exist in design
  374. Warning (15706): Node "OTG_DATA[10]" is assigned to location or region, but does not exist in design
  375. Warning (15706): Node "OTG_DATA[11]" is assigned to location or region, but does not exist in design
  376. Warning (15706): Node "OTG_DATA[12]" is assigned to location or region, but does not exist in design
  377. Warning (15706): Node "OTG_DATA[13]" is assigned to location or region, but does not exist in design
  378. Warning (15706): Node "OTG_DATA[14]" is assigned to location or region, but does not exist in design
  379. Warning (15706): Node "OTG_DATA[15]" is assigned to location or region, but does not exist in design
  380. Warning (15706): Node "OTG_DATA[1]" is assigned to location or region, but does not exist in design
  381. Warning (15706): Node "OTG_DATA[2]" is assigned to location or region, but does not exist in design
  382. Warning (15706): Node "OTG_DATA[3]" is assigned to location or region, but does not exist in design
  383. Warning (15706): Node "OTG_DATA[4]" is assigned to location or region, but does not exist in design
  384. Warning (15706): Node "OTG_DATA[5]" is assigned to location or region, but does not exist in design
  385. Warning (15706): Node "OTG_DATA[6]" is assigned to location or region, but does not exist in design
  386. Warning (15706): Node "OTG_DATA[7]" is assigned to location or region, but does not exist in design
  387. Warning (15706): Node "OTG_DATA[8]" is assigned to location or region, but does not exist in design
  388. Warning (15706): Node "OTG_DATA[9]" is assigned to location or region, but does not exist in design
  389. Warning (15706): Node "OTG_DREQ0" is assigned to location or region, but does not exist in design
  390. Warning (15706): Node "OTG_DREQ1" is assigned to location or region, but does not exist in design
  391. Warning (15706): Node "OTG_FSPEED" is assigned to location or region, but does not exist in design
  392. Warning (15706): Node "OTG_INT0" is assigned to location or region, but does not exist in design
  393. Warning (15706): Node "OTG_INT1" is assigned to location or region, but does not exist in design
  394. Warning (15706): Node "OTG_LSPEED" is assigned to location or region, but does not exist in design
  395. Warning (15706): Node "OTG_RD_N" is assigned to location or region, but does not exist in design
  396. Warning (15706): Node "OTG_RST_N" is assigned to location or region, but does not exist in design
  397. Warning (15706): Node "OTG_WR_N" is assigned to location or region, but does not exist in design
  398. Warning (15706): Node "PS2_CLK" is assigned to location or region, but does not exist in design
  399. Warning (15706): Node "PS2_DAT" is assigned to location or region, but does not exist in design
  400. Warning (15706): Node "SD_CLK" is assigned to location or region, but does not exist in design
  401. Warning (15706): Node "SD_CMD" is assigned to location or region, but does not exist in design
  402. Warning (15706): Node "SD_DAT" is assigned to location or region, but does not exist in design
  403. Warning (15706): Node "SD_DAT3" is assigned to location or region, but does not exist in design
  404. Warning (15706): Node "SW[10]" is assigned to location or region, but does not exist in design
  405. Warning (15706): Node "SW[11]" is assigned to location or region, but does not exist in design
  406. Warning (15706): Node "SW[12]" is assigned to location or region, but does not exist in design
  407. Warning (15706): Node "SW[13]" is assigned to location or region, but does not exist in design
  408. Warning (15706): Node "SW[14]" is assigned to location or region, but does not exist in design
  409. Warning (15706): Node "SW[15]" is assigned to location or region, but does not exist in design
  410. Warning (15706): Node "SW[16]" is assigned to location or region, but does not exist in design
  411. Warning (15706): Node "SW[17]" is assigned to location or region, but does not exist in design
  412. Warning (15706): Node "SW[5]" is assigned to location or region, but does not exist in design
  413. Warning (15706): Node "SW[6]" is assigned to location or region, but does not exist in design
  414. Warning (15706): Node "SW[7]" is assigned to location or region, but does not exist in design
  415. Warning (15706): Node "SW[8]" is assigned to location or region, but does not exist in design
  416. Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design
  417. Warning (15706): Node "TCK" is assigned to location or region, but does not exist in design
  418. Warning (15706): Node "TCS" is assigned to location or region, but does not exist in design
  419. Warning (15706): Node "TDI" is assigned to location or region, but does not exist in design
  420. Warning (15706): Node "TDO" is assigned to location or region, but does not exist in design
  421. Warning (15706): Node "TD_DATA[0]" is assigned to location or region, but does not exist in design
  422. Warning (15706): Node "TD_DATA[1]" is assigned to location or region, but does not exist in design
  423. Warning (15706): Node "TD_DATA[2]" is assigned to location or region, but does not exist in design
  424. Warning (15706): Node "TD_DATA[3]" is assigned to location or region, but does not exist in design
  425. Warning (15706): Node "TD_DATA[4]" is assigned to location or region, but does not exist in design
  426. Warning (15706): Node "TD_DATA[5]" is assigned to location or region, but does not exist in design
  427. Warning (15706): Node "TD_DATA[6]" is assigned to location or region, but does not exist in design
  428. Warning (15706): Node "TD_DATA[7]" is assigned to location or region, but does not exist in design
  429. Warning (15706): Node "TD_HS" is assigned to location or region, but does not exist in design
  430. Warning (15706): Node "TD_VS" is assigned to location or region, but does not exist in design
  431. Warning (15706): Node "UART_RXD" is assigned to location or region, but does not exist in design
  432. Warning (15706): Node "UART_TXD" is assigned to location or region, but does not exist in design
  433. Warning (15706): Node "VGA_BLANK" is assigned to location or region, but does not exist in design
  434. Warning (15706): Node "VGA_B[0]" is assigned to location or region, but does not exist in design
  435. Warning (15706): Node "VGA_B[1]" is assigned to location or region, but does not exist in design
  436. Warning (15706): Node "VGA_B[2]" is assigned to location or region, but does not exist in design
  437. Warning (15706): Node "VGA_B[3]" is assigned to location or region, but does not exist in design
  438. Warning (15706): Node "VGA_B[4]" is assigned to location or region, but does not exist in design
  439. Warning (15706): Node "VGA_B[5]" is assigned to location or region, but does not exist in design
  440. Warning (15706): Node "VGA_B[6]" is assigned to location or region, but does not exist in design
  441. Warning (15706): Node "VGA_B[7]" is assigned to location or region, but does not exist in design
  442. Warning (15706): Node "VGA_B[8]" is assigned to location or region, but does not exist in design
  443. Warning (15706): Node "VGA_B[9]" is assigned to location or region, but does not exist in design
  444. Warning (15706): Node "VGA_CLK" is assigned to location or region, but does not exist in design
  445. Warning (15706): Node "VGA_G[0]" is assigned to location or region, but does not exist in design
  446. Warning (15706): Node "VGA_G[1]" is assigned to location or region, but does not exist in design
  447. Warning (15706): Node "VGA_G[2]" is assigned to location or region, but does not exist in design
  448. Warning (15706): Node "VGA_G[3]" is assigned to location or region, but does not exist in design
  449. Warning (15706): Node "VGA_G[4]" is assigned to location or region, but does not exist in design
  450. Warning (15706): Node "VGA_G[5]" is assigned to location or region, but does not exist in design
  451. Warning (15706): Node "VGA_G[6]" is assigned to location or region, but does not exist in design
  452. Warning (15706): Node "VGA_G[7]" is assigned to location or region, but does not exist in design
  453. Warning (15706): Node "VGA_G[8]" is assigned to location or region, but does not exist in design
  454. Warning (15706): Node "VGA_G[9]" is assigned to location or region, but does not exist in design
  455. Warning (15706): Node "VGA_HS" is assigned to location or region, but does not exist in design
  456. Warning (15706): Node "VGA_R[0]" is assigned to location or region, but does not exist in design
  457. Warning (15706): Node "VGA_R[1]" is assigned to location or region, but does not exist in design
  458. Warning (15706): Node "VGA_R[2]" is assigned to location or region, but does not exist in design
  459. Warning (15706): Node "VGA_R[3]" is assigned to location or region, but does not exist in design
  460. Warning (15706): Node "VGA_R[4]" is assigned to location or region, but does not exist in design
  461. Warning (15706): Node "VGA_R[5]" is assigned to location or region, but does not exist in design
  462. Warning (15706): Node "VGA_R[6]" is assigned to location or region, but does not exist in design
  463. Warning (15706): Node "VGA_R[7]" is assigned to location or region, but does not exist in design
  464. Warning (15706): Node "VGA_R[8]" is assigned to location or region, but does not exist in design
  465. Warning (15706): Node "VGA_R[9]" is assigned to location or region, but does not exist in design
  466. Warning (15706): Node "VGA_SYNC" is assigned to location or region, but does not exist in design
  467. Warning (15706): Node "VGA_VS" is assigned to location or region, but does not exist in design
  468. Warning (15706): Node "ENET_CLK" is assigned to location or region, but does not exist in design
  469. Warning (15706): Node "ENET_CMD" is assigned to location or region, but does not exist in design
  470. Warning (15706): Node "ENET_CS_N" is assigned to location or region, but does not exist in design
  471. Warning (15706): Node "ENET_DATA[0]" is assigned to location or region, but does not exist in design
  472. Warning (15706): Node "ENET_DATA[10]" is assigned to location or region, but does not exist in design
  473. Warning (15706): Node "ENET_DATA[11]" is assigned to location or region, but does not exist in design
  474. Warning (15706): Node "ENET_DATA[12]" is assigned to location or region, but does not exist in design
  475. Warning (15706): Node "ENET_DATA[13]" is assigned to location or region, but does not exist in design
  476. Warning (15706): Node "ENET_DATA[14]" is assigned to location or region, but does not exist in design
  477. Warning (15706): Node "ENET_DATA[15]" is assigned to location or region, but does not exist in design
  478. Warning (15706): Node "ENET_DATA[1]" is assigned to location or region, but does not exist in design
  479. Warning (15706): Node "ENET_DATA[2]" is assigned to location or region, but does not exist in design
  480. Warning (15706): Node "ENET_DATA[3]" is assigned to location or region, but does not exist in design
  481. Warning (15706): Node "ENET_DATA[4]" is assigned to location or region, but does not exist in design
  482. Warning (15706): Node "ENET_DATA[5]" is assigned to location or region, but does not exist in design
  483. Warning (15706): Node "ENET_DATA[6]" is assigned to location or region, but does not exist in design
  484. Warning (15706): Node "ENET_DATA[7]" is assigned to location or region, but does not exist in design
  485. Warning (15706): Node "ENET_DATA[8]" is assigned to location or region, but does not exist in design
  486. Warning (15706): Node "ENET_DATA[9]" is assigned to location or region, but does not exist in design
  487. Warning (15706): Node "ENET_INT" is assigned to location or region, but does not exist in design
  488. Warning (15706): Node "ENET_RD_N" is assigned to location or region, but does not exist in design
  489. Warning (15706): Node "ENET_RST_N" is assigned to location or region, but does not exist in design
  490. Warning (15706): Node "ENET_WR_N" is assigned to location or region, but does not exist in design
  491. Warning (15706): Node "EXT_CLOCK" is assigned to location or region, but does not exist in design
  492. Warning (15706): Node "FL_ADDR[0]" is assigned to location or region, but does not exist in design
  493. Warning (15706): Node "FL_ADDR[10]" is assigned to location or region, but does not exist in design
  494. Warning (15706): Node "FL_ADDR[11]" is assigned to location or region, but does not exist in design
  495. Warning (15706): Node "FL_ADDR[12]" is assigned to location or region, but does not exist in design
  496. Warning (15706): Node "FL_ADDR[13]" is assigned to location or region, but does not exist in design
  497. Warning (15706): Node "FL_ADDR[14]" is assigned to location or region, but does not exist in design
  498. Warning (15706): Node "FL_ADDR[15]" is assigned to location or region, but does not exist in design
  499. Warning (15706): Node "FL_ADDR[16]" is assigned to location or region, but does not exist in design
  500. Warning (15706): Node "FL_ADDR[17]" is assigned to location or region, but does not exist in design
  501. Warning (15706): Node "FL_ADDR[18]" is assigned to location or region, but does not exist in design
  502. Warning (15706): Node "FL_ADDR[19]" is assigned to location or region, but does not exist in design
  503. Warning (15706): Node "FL_ADDR[1]" is assigned to location or region, but does not exist in design
  504. Warning (15706): Node "FL_ADDR[20]" is assigned to location or region, but does not exist in design
  505. Warning (15706): Node "FL_ADDR[21]" is assigned to location or region, but does not exist in design
  506. Warning (15706): Node "FL_ADDR[2]" is assigned to location or region, but does not exist in design
  507. Warning (15706): Node "FL_ADDR[3]" is assigned to location or region, but does not exist in design
  508. Warning (15706): Node "FL_ADDR[4]" is assigned to location or region, but does not exist in design
  509. Warning (15706): Node "FL_ADDR[5]" is assigned to location or region, but does not exist in design
  510. Warning (15706): Node "FL_ADDR[6]" is assigned to location or region, but does not exist in design
  511. Warning (15706): Node "FL_ADDR[7]" is assigned to location or region, but does not exist in design
  512. Warning (15706): Node "FL_ADDR[8]" is assigned to location or region, but does not exist in design
  513. Warning (15706): Node "FL_ADDR[9]" is assigned to location or region, but does not exist in design
  514. Warning (15706): Node "FL_CE_N" is assigned to location or region, but does not exist in design
  515. Warning (15706): Node "FL_DQ[0]" is assigned to location or region, but does not exist in design
  516. Warning (15706): Node "FL_DQ[1]" is assigned to location or region, but does not exist in design
  517. Warning (15706): Node "FL_DQ[2]" is assigned to location or region, but does not exist in design
  518. Warning (15706): Node "FL_DQ[3]" is assigned to location or region, but does not exist in design
  519. Warning (15706): Node "FL_DQ[4]" is assigned to location or region, but does not exist in design
  520. Warning (15706): Node "FL_DQ[5]" is assigned to location or region, but does not exist in design
  521. Warning (15706): Node "FL_DQ[6]" is assigned to location or region, but does not exist in design
  522. Warning (15706): Node "FL_DQ[7]" is assigned to location or region, but does not exist in design
  523. Warning (15706): Node "FL_OE_N" is assigned to location or region, but does not exist in design
  524. Warning (15706): Node "FL_RST_N" is assigned to location or region, but does not exist in design
  525. Warning (15706): Node "FL_WE_N" is assigned to location or region, but does not exist in design
  526. Warning (15706): Node "GPIO_0[0]" is assigned to location or region, but does not exist in design
  527. Warning (15706): Node "GPIO_0[10]" is assigned to location or region, but does not exist in design
  528. Warning (15706): Node "GPIO_0[11]" is assigned to location or region, but does not exist in design
  529. Warning (15706): Node "GPIO_0[12]" is assigned to location or region, but does not exist in design
  530. Warning (15706): Node "GPIO_0[13]" is assigned to location or region, but does not exist in design
  531. Warning (15706): Node "GPIO_0[14]" is assigned to location or region, but does not exist in design
  532. Warning (15706): Node "GPIO_0[15]" is assigned to location or region, but does not exist in design
  533. Warning (15706): Node "GPIO_0[16]" is assigned to location or region, but does not exist in design
  534. Warning (15706): Node "GPIO_0[17]" is assigned to location or region, but does not exist in design
  535. Warning (15706): Node "GPIO_0[18]" is assigned to location or region, but does not exist in design
  536. Warning (15706): Node "GPIO_0[19]" is assigned to location or region, but does not exist in design
  537. Warning (15706): Node "GPIO_0[1]" is assigned to location or region, but does not exist in design
  538. Warning (15706): Node "GPIO_0[20]" is assigned to location or region, but does not exist in design
  539. Warning (15706): Node "GPIO_0[21]" is assigned to location or region, but does not exist in design
  540. Warning (15706): Node "GPIO_0[22]" is assigned to location or region, but does not exist in design
  541. Warning (15706): Node "GPIO_0[23]" is assigned to location or region, but does not exist in design
  542. Warning (15706): Node "GPIO_0[24]" is assigned to location or region, but does not exist in design
  543. Warning (15706): Node "GPIO_0[25]" is assigned to location or region, but does not exist in design
  544. Warning (15706): Node "GPIO_0[26]" is assigned to location or region, but does not exist in design
  545. Warning (15706): Node "GPIO_0[27]" is assigned to location or region, but does not exist in design
  546. Warning (15706): Node "GPIO_0[28]" is assigned to location or region, but does not exist in design
  547. Warning (15706): Node "GPIO_0[29]" is assigned to location or region, but does not exist in design
  548. Warning (15706): Node "GPIO_0[2]" is assigned to location or region, but does not exist in design
  549. Warning (15706): Node "GPIO_0[30]" is assigned to location or region, but does not exist in design
  550. Warning (15706): Node "GPIO_0[31]" is assigned to location or region, but does not exist in design
  551. Warning (15706): Node "GPIO_0[32]" is assigned to location or region, but does not exist in design
  552. Warning (15706): Node "GPIO_0[33]" is assigned to location or region, but does not exist in design
  553. Warning (15706): Node "GPIO_0[34]" is assigned to location or region, but does not exist in design
  554. Warning (15706): Node "GPIO_0[35]" is assigned to location or region, but does not exist in design
  555. Warning (15706): Node "GPIO_0[3]" is assigned to location or region, but does not exist in design
  556. Warning (15706): Node "GPIO_0[4]" is assigned to location or region, but does not exist in design
  557. Warning (15706): Node "GPIO_0[5]" is assigned to location or region, but does not exist in design
  558. Warning (15706): Node "GPIO_0[6]" is assigned to location or region, but does not exist in design
  559. Warning (15706): Node "GPIO_0[7]" is assigned to location or region, but does not exist in design
  560. Warning (15706): Node "GPIO_0[8]" is assigned to location or region, but does not exist in design
  561. Warning (15706): Node "GPIO_0[9]" is assigned to location or region, but does not exist in design
  562. Warning (15706): Node "GPIO_1[0]" is assigned to location or region, but does not exist in design
  563. Warning (15706): Node "GPIO_1[10]" is assigned to location or region, but does not exist in design
  564. Warning (15706): Node "GPIO_1[11]" is assigned to location or region, but does not exist in design
  565. Warning (15706): Node "GPIO_1[12]" is assigned to location or region, but does not exist in design
  566. Warning (15706): Node "GPIO_1[13]" is assigned to location or region, but does not exist in design
  567. Warning (15706): Node "GPIO_1[14]" is assigned to location or region, but does not exist in design
  568. Warning (15706): Node "GPIO_1[15]" is assigned to location or region, but does not exist in design
  569. Warning (15706): Node "GPIO_1[16]" is assigned to location or region, but does not exist in design
  570. Warning (15706): Node "GPIO_1[17]" is assigned to location or region, but does not exist in design
  571. Warning (15706): Node "GPIO_1[18]" is assigned to location or region, but does not exist in design
  572. Warning (15706): Node "GPIO_1[19]" is assigned to location or region, but does not exist in design
  573. Warning (15706): Node "GPIO_1[20]" is assigned to location or region, but does not exist in design
  574. Warning (15706): Node "GPIO_1[21]" is assigned to location or region, but does not exist in design
  575. Warning (15706): Node "GPIO_1[2]" is assigned to location or region, but does not exist in design
  576. Warning (15706): Node "GPIO_1[4]" is assigned to location or region, but does not exist in design
  577. Warning (15706): Node "GPIO_1[6]" is assigned to location or region, but does not exist in design
  578. Warning (15706): Node "GPIO_1[7]" is assigned to location or region, but does not exist in design
  579. Warning (15706): Node "GPIO_1[8]" is assigned to location or region, but does not exist in design
  580. Warning (15706): Node "GPIO_1[9]" is assigned to location or region, but does not exist in design
  581. Warning (15706): Node "HEX0[0]" is assigned to location or region, but does not exist in design
  582. Warning (15706): Node "HEX0[1]" is assigned to location or region, but does not exist in design
  583. Warning (15706): Node "HEX0[2]" is assigned to location or region, but does not exist in design
  584. Warning (15706): Node "HEX0[3]" is assigned to location or region, but does not exist in design
  585. Warning (15706): Node "HEX0[4]" is assigned to location or region, but does not exist in design
  586. Warning (15706): Node "HEX0[5]" is assigned to location or region, but does not exist in design
  587. Warning (15706): Node "HEX0[6]" is assigned to location or region, but does not exist in design
  588. Warning (15706): Node "HEX1[0]" is assigned to location or region, but does not exist in design
  589. Warning (15706): Node "HEX1[1]" is assigned to location or region, but does not exist in design
  590. Warning (15706): Node "HEX1[2]" is assigned to location or region, but does not exist in design
  591. Warning (15706): Node "HEX1[3]" is assigned to location or region, but does not exist in design
  592. Warning (15706): Node "HEX1[4]" is assigned to location or region, but does not exist in design
  593. Warning (15706): Node "HEX1[5]" is assigned to location or region, but does not exist in design
  594. Warning (15706): Node "HEX1[6]" is assigned to location or region, but does not exist in design
  595. Warning (15706): Node "HEX2[0]" is assigned to location or region, but does not exist in design
  596. Warning (15706): Node "HEX2[1]" is assigned to location or region, but does not exist in design
  597. Warning (15706): Node "HEX2[2]" is assigned to location or region, but does not exist in design
  598. Warning (15706): Node "HEX2[3]" is assigned to location or region, but does not exist in design
  599. Warning (15706): Node "HEX2[4]" is assigned to location or region, but does not exist in design
  600. Warning (15706): Node "HEX2[5]" is assigned to location or region, but does not exist in design
  601. Warning (15706): Node "HEX2[6]" is assigned to location or region, but does not exist in design
  602. Warning (15706): Node "HEX3[0]" is assigned to location or region, but does not exist in design
  603. Warning (15706): Node "HEX3[1]" is assigned to location or region, but does not exist in design
  604. Warning (15706): Node "HEX3[2]" is assigned to location or region, but does not exist in design
  605. Warning (15706): Node "HEX3[3]" is assigned to location or region, but does not exist in design
  606. Warning (15706): Node "HEX3[4]" is assigned to location or region, but does not exist in design
  607. Warning (15706): Node "HEX3[5]" is assigned to location or region, but does not exist in design
  608. Warning (15706): Node "HEX3[6]" is assigned to location or region, but does not exist in design
  609. Warning (15706): Node "HEX4[0]" is assigned to location or region, but does not exist in design
  610. Warning (15706): Node "HEX4[1]" is assigned to location or region, but does not exist in design
  611. Warning (15706): Node "HEX4[2]" is assigned to location or region, but does not exist in design
  612. Warning (15706): Node "HEX4[3]" is assigned to location or region, but does not exist in design
  613. Warning (15706): Node "HEX4[4]" is assigned to location or region, but does not exist in design
  614. Warning (15706): Node "HEX4[5]" is assigned to location or region, but does not exist in design
  615. Warning (15706): Node "HEX4[6]" is assigned to location or region, but does not exist in design
  616. Warning (15706): Node "HEX5[0]" is assigned to location or region, but does not exist in design
  617. Warning (15706): Node "HEX5[1]" is assigned to location or region, but does not exist in design
  618. Warning (15706): Node "HEX5[2]" is assigned to location or region, but does not exist in design
  619. Warning (15706): Node "HEX5[3]" is assigned to location or region, but does not exist in design
  620. Warning (15706): Node "HEX5[4]" is assigned to location or region, but does not exist in design
  621. Warning (15706): Node "HEX5[5]" is assigned to location or region, but does not exist in design
  622. Warning (15706): Node "HEX5[6]" is assigned to location or region, but does not exist in design
  623. Warning (15706): Node "HEX6[0]" is assigned to location or region, but does not exist in design
  624. Warning (15706): Node "HEX6[1]" is assigned to location or region, but does not exist in design
  625. Warning (15706): Node "HEX6[2]" is assigned to location or region, but does not exist in design
  626. Warning (15706): Node "HEX6[3]" is assigned to location or region, but does not exist in design
  627. Warning (15706): Node "HEX6[4]" is assigned to location or region, but does not exist in design
  628. Warning (15706): Node "HEX6[5]" is assigned to location or region, but does not exist in design
  629. Warning (15706): Node "HEX6[6]" is assigned to location or region, but does not exist in design
  630. Warning (15706): Node "HEX7[0]" is assigned to location or region, but does not exist in design
  631. Warning (15706): Node "HEX7[1]" is assigned to location or region, but does not exist in design
  632. Warning (15706): Node "HEX7[2]" is assigned to location or region, but does not exist in design
  633. Warning (15706): Node "HEX7[3]" is assigned to location or region, but does not exist in design
  634. Warning (15706): Node "HEX7[4]" is assigned to location or region, but does not exist in design
  635. Warning (15706): Node "HEX7[5]" is assigned to location or region, but does not exist in design
  636. Warning (15706): Node "HEX7[6]" is assigned to location or region, but does not exist in design
  637. Warning (15706): Node "IRDA_RXD" is assigned to location or region, but does not exist in design
  638. Warning (15706): Node "IRDA_TXD" is assigned to location or region, but does not exist in design
  639. Warning (15706): Node "KEY[2]" is assigned to location or region, but does not exist in design
  640. Warning (15706): Node "KEY[3]" is assigned to location or region, but does not exist in design
  641. Warning (15706): Node "LCD_BLON" is assigned to location or region, but does not exist in design
  642. Warning (15706): Node "LCD_DATA[0]" is assigned to location or region, but does not exist in design
  643. Warning (15706): Node "LCD_DATA[1]" is assigned to location or region, but does not exist in design
  644. Warning (15706): Node "LCD_DATA[2]" is assigned to location or region, but does not exist in design
  645. Warning (15706): Node "LCD_DATA[3]" is assigned to location or region, but does not exist in design
  646. Warning (15706): Node "LCD_DATA[4]" is assigned to location or region, but does not exist in design
  647. Warning (15706): Node "LCD_DATA[5]" is assigned to location or region, but does not exist in design
  648. Warning (15706): Node "LCD_DATA[6]" is assigned to location or region, but does not exist in design
  649. Warning (15706): Node "LCD_DATA[7]" is assigned to location or region, but does not exist in design
  650. Warning (15706): Node "LCD_EN" is assigned to location or region, but does not exist in design
  651. Warning (15706): Node "LCD_ON" is assigned to location or region, but does not exist in design
  652. Warning (15706): Node "LCD_RS" is assigned to location or region, but does not exist in design
  653. Warning (15706): Node "LCD_RW" is assigned to location or region, but does not exist in design
  654. Warning (15706): Node "LEDG[4]" is assigned to location or region, but does not exist in design
  655. Warning (15706): Node "LEDG[5]" is assigned to location or region, but does not exist in design
  656. Warning (15706): Node "LEDG[6]" is assigned to location or region, but does not exist in design
  657. Warning (15706): Node "LEDG[7]" is assigned to location or region, but does not exist in design
  658. Warning (15706): Node "LEDG[8]" is assigned to location or region, but does not exist in design
  659. Warning (15706): Node "LEDR[0]" is assigned to location or region, but does not exist in design
  660. Warning (15706): Node "LEDR[10]" is assigned to location or region, but does not exist in design
  661. Warning (15706): Node "LEDR[11]" is assigned to location or region, but does not exist in design
  662. Warning (15706): Node "LEDR[12]" is assigned to location or region, but does not exist in design
  663. Warning (15706): Node "LEDR[13]" is assigned to location or region, but does not exist in design
  664. Warning (15706): Node "LEDR[14]" is assigned to location or region, but does not exist in design
  665. Warning (15706): Node "LEDR[15]" is assigned to location or region, but does not exist in design
  666. Warning (15706): Node "LEDR[16]" is assigned to location or region, but does not exist in design
  667. Warning (15706): Node "LEDR[17]" is assigned to location or region, but does not exist in design
  668. Warning (15706): Node "LEDR[1]" is assigned to location or region, but does not exist in design
  669. Warning (15706): Node "LEDR[2]" is assigned to location or region, but does not exist in design
  670. Warning (15706): Node "LEDR[3]" is assigned to location or region, but does not exist in design
  671. Warning (15706): Node "LEDR[4]" is assigned to location or region, but does not exist in design
  672. Warning (15706): Node "LEDR[5]" is assigned to location or region, but does not exist in design
  673. Warning (15706): Node "LEDR[6]" is assigned to location or region, but does not exist in design
  674. Warning (15706): Node "LEDR[7]" is assigned to location or region, but does not exist in design
  675. Warning (15706): Node "LEDR[8]" is assigned to location or region, but does not exist in design
  676. Warning (15706): Node "LEDR[9]" is assigned to location or region, but does not exist in design
  677. Warning (15706): Node "OTG_ADDR[0]" is assigned to location or region, but does not exist in design
  678. Warning (15706): Node "OTG_ADDR[1]" is assigned to location or region, but does not exist in design
  679. Warning (15706): Node "OTG_CS_N" is assigned to location or region, but does not exist in design
  680. Warning (15706): Node "OTG_DACK0_N" is assigned to location or region, but does not exist in design
  681. Warning (15706): Node "OTG_DACK1_N" is assigned to location or region, but does not exist in design
  682. Warning (15706): Node "OTG_DATA[0]" is assigned to location or region, but does not exist in design
  683. Warning (15706): Node "OTG_DATA[10]" is assigned to location or region, but does not exist in design
  684. Warning (15706): Node "OTG_DATA[11]" is assigned to location or region, but does not exist in design
  685. Warning (15706): Node "OTG_DATA[12]" is assigned to location or region, but does not exist in design
  686. Warning (15706): Node "OTG_DATA[13]" is assigned to location or region, but does not exist in design
  687. Warning (15706): Node "OTG_DATA[14]" is assigned to location or region, but does not exist in design
  688. Warning (15706): Node "OTG_DATA[15]" is assigned to location or region, but does not exist in design
  689. Warning (15706): Node "OTG_DATA[1]" is assigned to location or region, but does not exist in design
  690. Warning (15706): Node "OTG_DATA[2]" is assigned to location or region, but does not exist in design
  691. Warning (15706): Node "OTG_DATA[3]" is assigned to location or region, but does not exist in design
  692. Warning (15706): Node "OTG_DATA[4]" is assigned to location or region, but does not exist in design
  693. Warning (15706): Node "OTG_DATA[5]" is assigned to location or region, but does not exist in design
  694. Warning (15706): Node "OTG_DATA[6]" is assigned to location or region, but does not exist in design
  695. Warning (15706): Node "OTG_DATA[7]" is assigned to location or region, but does not exist in design
  696. Warning (15706): Node "OTG_DATA[8]" is assigned to location or region, but does not exist in design
  697. Warning (15706): Node "OTG_DATA[9]" is assigned to location or region, but does not exist in design
  698. Warning (15706): Node "OTG_DREQ0" is assigned to location or region, but does not exist in design
  699. Warning (15706): Node "OTG_DREQ1" is assigned to location or region, but does not exist in design
  700. Warning (15706): Node "OTG_FSPEED" is assigned to location or region, but does not exist in design
  701. Warning (15706): Node "OTG_INT0" is assigned to location or region, but does not exist in design
  702. Warning (15706): Node "OTG_INT1" is assigned to location or region, but does not exist in design
  703. Warning (15706): Node "OTG_LSPEED" is assigned to location or region, but does not exist in design
  704. Warning (15706): Node "OTG_RD_N" is assigned to location or region, but does not exist in design
  705. Warning (15706): Node "OTG_RST_N" is assigned to location or region, but does not exist in design
  706. Warning (15706): Node "OTG_WR_N" is assigned to location or region, but does not exist in design
  707. Warning (15706): Node "PS2_CLK" is assigned to location or region, but does not exist in design
  708. Warning (15706): Node "PS2_DAT" is assigned to location or region, but does not exist in design
  709. Warning (15706): Node "SD_CLK" is assigned to location or region, but does not exist in design
  710. Warning (15706): Node "SD_CMD" is assigned to location or region, but does not exist in design
  711. Warning (15706): Node "SD_DAT" is assigned to location or region, but does not exist in design
  712. Warning (15706): Node "SD_DAT3" is assigned to location or region, but does not exist in design
  713. Warning (15706): Node "SW[10]" is assigned to location or region, but does not exist in design
  714. Warning (15706): Node "SW[11]" is assigned to location or region, but does not exist in design
  715. Warning (15706): Node "SW[12]" is assigned to location or region, but does not exist in design
  716. Warning (15706): Node "SW[13]" is assigned to location or region, but does not exist in design
  717. Warning (15706): Node "SW[14]" is assigned to location or region, but does not exist in design
  718. Warning (15706): Node "SW[15]" is assigned to location or region, but does not exist in design
  719. Warning (15706): Node "SW[16]" is assigned to location or region, but does not exist in design
  720. Warning (15706): Node "SW[17]" is assigned to location or region, but does not exist in design
  721. Warning (15706): Node "SW[5]" is assigned to location or region, but does not exist in design
  722. Warning (15706): Node "SW[6]" is assigned to location or region, but does not exist in design
  723. Warning (15706): Node "SW[7]" is assigned to location or region, but does not exist in design
  724. Warning (15706): Node "SW[8]" is assigned to location or region, but does not exist in design
  725. Warning (15706): Node "SW[9]" is assigned to location or region, but does not exist in design
  726. Warning (15706): Node "TCK" is assigned to location or region, but does not exist in design
  727. Warning (15706): Node "TCS" is assigned to location or region, but does not exist in design
  728. Warning (15706): Node "TDI" is assigned to location or region, but does not exist in design
  729. Warning (15706): Node "TDO" is assigned to location or region, but does not exist in design
  730. Warning (15706): Node "TD_DATA[0]" is assigned to location or region, but does not exist in design
  731. Warning (15706): Node "TD_DATA[1]" is assigned to location or region, but does not exist in design
  732. Warning (15706): Node "TD_DATA[2]" is assigned to location or region, but does not exist in design
  733. Warning (15706): Node "TD_DATA[3]" is assigned to location or region, but does not exist in design
  734. Warning (15706): Node "TD_DATA[4]" is assigned to location or region, but does not exist in design
  735. Warning (15706): Node "TD_DATA[5]" is assigned to location or region, but does not exist in design
  736. Warning (15706): Node "TD_DATA[6]" is assigned to location or region, but does not exist in design
  737. Warning (15706): Node "TD_DATA[7]" is assigned to location or region, but does not exist in design
  738. Warning (15706): Node "TD_HS" is assigned to location or region, but does not exist in design
  739. Warning (15706): Node "TD_VS" is assigned to location or region, but does not exist in design
  740. Warning (15706): Node "UART_RXD" is assigned to location or region, but does not exist in design
  741. Warning (15706): Node "UART_TXD" is assigned to location or region, but does not exist in design
  742. Warning (15706): Node "VGA_BLANK" is assigned to location or region, but does not exist in design
  743. Warning (15706): Node "VGA_B[0]" is assigned to location or region, but does not exist in design
  744. Warning (15706): Node "VGA_B[1]" is assigned to location or region, but does not exist in design
  745. Warning (15706): Node "VGA_B[2]" is assigned to location or region, but does not exist in design
  746. Warning (15706): Node "VGA_B[3]" is assigned to location or region, but does not exist in design
  747. Warning (15706): Node "VGA_B[4]" is assigned to location or region, but does not exist in design
  748. Warning (15706): Node "VGA_B[5]" is assigned to location or region, but does not exist in design
  749. Warning (15706): Node "VGA_B[6]" is assigned to location or region, but does not exist in design
  750. Warning (15706): Node "VGA_B[7]" is assigned to location or region, but does not exist in design
  751. Warning (15706): Node "VGA_B[8]" is assigned to location or region, but does not exist in design
  752. Warning (15706): Node "VGA_B[9]" is assigned to location or region, but does not exist in design
  753. Warning (15706): Node "VGA_CLK" is assigned to location or region, but does not exist in design
  754. Warning (15706): Node "VGA_G[0]" is assigned to location or region, but does not exist in design
  755. Warning (15706): Node "VGA_G[1]" is assigned to location or region, but does not exist in design
  756. Warning (15706): Node "VGA_G[2]" is assigned to location or region, but does not exist in design
  757. Warning (15706): Node "VGA_G[3]" is assigned to location or region, but does not exist in design
  758. Warning (15706): Node "VGA_G[4]" is assigned to location or region, but does not exist in design
  759. Warning (15706): Node "VGA_G[5]" is assigned to location or region, but does not exist in design
  760. Warning (15706): Node "VGA_G[6]" is assigned to location or region, but does not exist in design
  761. Warning (15706): Node "VGA_G[7]" is assigned to location or region, but does not exist in design
  762. Warning (15706): Node "VGA_G[8]" is assigned to location or region, but does not exist in design
  763. Warning (15706): Node "VGA_G[9]" is assigned to location or region, but does not exist in design
  764. Warning (15706): Node "VGA_HS" is assigned to location or region, but does not exist in design
  765. Warning (15706): Node "VGA_R[0]" is assigned to location or region, but does not exist in design
  766. Warning (15706): Node "VGA_R[1]" is assigned to location or region, but does not exist in design
  767. Warning (15706): Node "VGA_R[2]" is assigned to location or region, but does not exist in design
  768. Warning (15706): Node "VGA_R[3]" is assigned to location or region, but does not exist in design
  769. Warning (15706): Node "VGA_R[4]" is assigned to location or region, but does not exist in design
  770. Warning (15706): Node "VGA_R[5]" is assigned to location or region, but does not exist in design
  771. Warning (15706): Node "VGA_R[6]" is assigned to location or region, but does not exist in design
  772. Warning (15706): Node "VGA_R[7]" is assigned to location or region, but does not exist in design
  773. Warning (15706): Node "VGA_R[8]" is assigned to location or region, but does not exist in design
  774. Warning (15706): Node "VGA_R[9]" is assigned to location or region, but does not exist in design
  775. Warning (15706): Node "VGA_SYNC" is assigned to location or region, but does not exist in design
  776. Warning (15706): Node "VGA_VS" is assigned to location or region, but does not exist in design
  777. Warning (306006): Found 106 output pins without output pin load capacitance assignment
  778. Info (306007): Pin "GPIO_1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  779. Info (306007): Pin "GPIO_1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  780. Info (306007): Pin "GPIO_1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  781. Info (306007): Pin "I2C_SDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  782. Info (306007): Pin "AUD_BCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  783. Info (306007): Pin "AUD_ADCLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  784. Info (306007): Pin "AUD_DACLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  785. Info (306007): Pin "DRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  786. Info (306007): Pin "DRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  787. Info (306007): Pin "DRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  788. Info (306007): Pin "DRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  789. Info (306007): Pin "DRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  790. Info (306007): Pin "DRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  791. Info (306007): Pin "DRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  792. Info (306007): Pin "DRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  793. Info (306007): Pin "DRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  794. Info (306007): Pin "DRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  795. Info (306007): Pin "DRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  796. Info (306007): Pin "DRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  797. Info (306007): Pin "DRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  798. Info (306007): Pin "DRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  799. Info (306007): Pin "DRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  800. Info (306007): Pin "DRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  801. Info (306007): Pin "SRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  802. Info (306007): Pin "SRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  803. Info (306007): Pin "SRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  804. Info (306007): Pin "SRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  805. Info (306007): Pin "SRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  806. Info (306007): Pin "SRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  807. Info (306007): Pin "SRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  808. Info (306007): Pin "SRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  809. Info (306007): Pin "SRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  810. Info (306007): Pin "SRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  811. Info (306007): Pin "SRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  812. Info (306007): Pin "SRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  813. Info (306007): Pin "SRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  814. Info (306007): Pin "SRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  815. Info (306007): Pin "SRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  816. Info (306007): Pin "SRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  817. Info (306007): Pin "AUD_DACDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  818. Info (306007): Pin "GPIO_1[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  819. Info (306007): Pin "GPIO_1[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  820. Info (306007): Pin "GPIO_1[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  821. Info (306007): Pin "GPIO_1[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  822. Info (306007): Pin "GPIO_1[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  823. Info (306007): Pin "GPIO_1[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  824. Info (306007): Pin "GPIO_1[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  825. Info (306007): Pin "GPIO_1[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  826. Info (306007): Pin "GPIO_1[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  827. Info (306007): Pin "GPIO_1[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  828. Info (306007): Pin "GPIO_1[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  829. Info (306007): Pin "GPIO_1[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  830. Info (306007): Pin "GPIO_1[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  831. Info (306007): Pin "GPIO_1[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  832. Info (306007): Pin "DRAM_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  833. Info (306007): Pin "AUD_XCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  834. Info (306007): Pin "TD_RESET" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  835. Info (306007): Pin "SRAM_LB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  836. Info (306007): Pin "SRAM_UB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  837. Info (306007): Pin "SRAM_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  838. Info (306007): Pin "SRAM_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  839. Info (306007): Pin "SRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  840. Info (306007): Pin "DRAM_CAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  841. Info (306007): Pin "DRAM_CKE" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  842. Info (306007): Pin "DRAM_CS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  843. Info (306007): Pin "DRAM_RAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  844. Info (306007): Pin "DRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  845. Info (306007): Pin "DRAM_BA_1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  846. Info (306007): Pin "DRAM_BA_0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  847. Info (306007): Pin "DRAM_LDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  848. Info (306007): Pin "DRAM_UDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  849. Info (306007): Pin "I2C_SCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  850. Info (306007): Pin "DRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  851. Info (306007): Pin "DRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  852. Info (306007): Pin "DRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  853. Info (306007): Pin "DRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  854. Info (306007): Pin "DRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  855. Info (306007): Pin "DRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  856. Info (306007): Pin "DRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  857. Info (306007): Pin "DRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  858. Info (306007): Pin "DRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  859. Info (306007): Pin "DRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  860. Info (306007): Pin "DRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  861. Info (306007): Pin "DRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  862. Info (306007): Pin "LEDG[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  863. Info (306007): Pin "LEDG[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  864. Info (306007): Pin "LEDG[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  865. Info (306007): Pin "LEDG[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  866. Info (306007): Pin "SRAM_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  867. Info (306007): Pin "SRAM_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  868. Info (306007): Pin "SRAM_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  869. Info (306007): Pin "SRAM_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  870. Info (306007): Pin "SRAM_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  871. Info (306007): Pin "SRAM_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  872. Info (306007): Pin "SRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  873. Info (306007): Pin "SRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  874. Info (306007): Pin "SRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  875. Info (306007): Pin "SRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  876. Info (306007): Pin "SRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  877. Info (306007): Pin "SRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  878. Info (306007): Pin "SRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  879. Info (306007): Pin "SRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  880. Info (306007): Pin "SRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  881. Info (306007): Pin "SRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  882. Info (306007): Pin "SRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  883. Info (306007): Pin "SRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  884. Info (306007): Pin "GPIO_1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  885. Info (306007): Pin "GPIO_1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  886. Info (306007): Pin "GPIO_1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  887. Info (306007): Pin "I2C_SDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  888. Info (306007): Pin "AUD_BCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  889. Info (306007): Pin "AUD_ADCLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  890. Info (306007): Pin "AUD_DACLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  891. Info (306007): Pin "DRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  892. Info (306007): Pin "DRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  893. Info (306007): Pin "DRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  894. Info (306007): Pin "DRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  895. Info (306007): Pin "DRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  896. Info (306007): Pin "DRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  897. Info (306007): Pin "DRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  898. Info (306007): Pin "DRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  899. Info (306007): Pin "DRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  900. Info (306007): Pin "DRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  901. Info (306007): Pin "DRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  902. Info (306007): Pin "DRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  903. Info (306007): Pin "DRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  904. Info (306007): Pin "DRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  905. Info (306007): Pin "DRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  906. Info (306007): Pin "DRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  907. Info (306007): Pin "SRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  908. Info (306007): Pin "SRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  909. Info (306007): Pin "SRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  910. Info (306007): Pin "SRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  911. Info (306007): Pin "SRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  912. Info (306007): Pin "SRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  913. Info (306007): Pin "SRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  914. Info (306007): Pin "SRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  915. Info (306007): Pin "SRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  916. Info (306007): Pin "SRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  917. Info (306007): Pin "SRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  918. Info (306007): Pin "SRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  919. Info (306007): Pin "SRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  920. Info (306007): Pin "SRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  921. Info (306007): Pin "SRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  922. Info (306007): Pin "SRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  923. Info (306007): Pin "AUD_DACDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  924. Info (306007): Pin "GPIO_1[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  925. Info (306007): Pin "GPIO_1[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  926. Info (306007): Pin "GPIO_1[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  927. Info (306007): Pin "GPIO_1[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  928. Info (306007): Pin "GPIO_1[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  929. Info (306007): Pin "GPIO_1[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  930. Info (306007): Pin "GPIO_1[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  931. Info (306007): Pin "GPIO_1[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  932. Info (306007): Pin "GPIO_1[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  933. Info (306007): Pin "GPIO_1[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  934. Info (306007): Pin "GPIO_1[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  935. Info (306007): Pin "GPIO_1[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  936. Info (306007): Pin "GPIO_1[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  937. Info (306007): Pin "GPIO_1[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  938. Info (306007): Pin "DRAM_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  939. Info (306007): Pin "AUD_XCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  940. Info (306007): Pin "TD_RESET" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  941. Info (306007): Pin "SRAM_LB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  942. Info (306007): Pin "SRAM_UB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  943. Info (306007): Pin "SRAM_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  944. Info (306007): Pin "SRAM_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  945. Info (306007): Pin "SRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  946. Info (306007): Pin "DRAM_CAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  947. Info (306007): Pin "DRAM_CKE" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  948. Info (306007): Pin "DRAM_CS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  949. Info (306007): Pin "DRAM_RAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  950. Info (306007): Pin "DRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  951. Info (306007): Pin "DRAM_BA_1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  952. Info (306007): Pin "DRAM_BA_0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  953. Info (306007): Pin "DRAM_LDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  954. Info (306007): Pin "DRAM_UDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  955. Info (306007): Pin "I2C_SCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  956. Info (306007): Pin "DRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  957. Info (306007): Pin "DRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  958. Info (306007): Pin "DRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  959. Info (306007): Pin "DRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  960. Info (306007): Pin "DRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  961. Info (306007): Pin "DRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  962. Info (306007): Pin "DRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  963. Info (306007): Pin "DRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  964. Info (306007): Pin "DRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  965. Info (306007): Pin "DRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  966. Info (306007): Pin "DRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  967. Info (306007): Pin "DRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  968. Info (306007): Pin "LEDG[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  969. Info (306007): Pin "LEDG[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  970. Info (306007): Pin "LEDG[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  971. Info (306007): Pin "LEDG[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  972. Info (306007): Pin "SRAM_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  973. Info (306007): Pin "SRAM_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  974. Info (306007): Pin "SRAM_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  975. Info (306007): Pin "SRAM_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  976. Info (306007): Pin "SRAM_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  977. Info (306007): Pin "SRAM_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  978. Info (306007): Pin "SRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  979. Info (306007): Pin "SRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  980. Info (306007): Pin "SRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  981. Info (306007): Pin "SRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  982. Info (306007): Pin "SRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  983. Info (306007): Pin "SRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  984. Info (306007): Pin "SRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  985. Info (306007): Pin "SRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  986. Info (306007): Pin "SRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  987. Info (306007): Pin "SRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  988. Info (306007): Pin "SRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  989. Info (306007): Pin "SRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
  990. Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information.
  991. Warning (169064): Following 19 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results
  992. Info (169065): Pin GPIO_1[1] has a permanently disabled output enable
  993. Info (169065): Pin AUD_BCLK has a permanently disabled output enable
  994. Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable
  995. Info (169065): Pin AUD_DACLRCK has a permanently disabled output enable
  996. Info (169065): Pin AUD_DACDAT has a permanently enabled output enable
  997. Info (169065): Pin GPIO_1[23] has a permanently enabled output enable
  998. Info (169065): Pin GPIO_1[24] has a permanently enabled output enable
  999. Info (169065): Pin GPIO_1[32] has a permanently enabled output enable
  1000. Info (169065): Pin GPIO_1[31] has a permanently enabled output enable
  1001. Info (169065): Pin GPIO_1[30] has a permanently enabled output enable
  1002. Info (169065): Pin GPIO_1[29] has a permanently enabled output enable
  1003. Info (169065): Pin GPIO_1[28] has a permanently enabled output enable
  1004. Info (169065): Pin GPIO_1[27] has a permanently enabled output enable
  1005. Info (169065): Pin GPIO_1[26] has a permanently enabled output enable
  1006. Info (169065): Pin GPIO_1[25] has a permanently enabled output enable
  1007. Info (169065): Pin GPIO_1[22] has a permanently enabled output enable
  1008. Info (169065): Pin GPIO_1[34] has a permanently enabled output enable
  1009. Info (169065): Pin GPIO_1[33] has a permanently enabled output enable
  1010. Info (169065): Pin GPIO_1[35] has a permanently enabled output enable
  1011. Info (169065): Pin GPIO_1[1] has a permanently disabled output enable
  1012. Info (169065): Pin AUD_BCLK has a permanently disabled output enable
  1013. Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable
  1014. Info (169065): Pin AUD_DACLRCK has a permanently disabled output enable
  1015. Info (169065): Pin AUD_DACDAT has a permanently enabled output enable
  1016. Info (169065): Pin GPIO_1[23] has a permanently enabled output enable
  1017. Info (169065): Pin GPIO_1[24] has a permanently enabled output enable
  1018. Info (169065): Pin GPIO_1[32] has a permanently enabled output enable
  1019. Info (169065): Pin GPIO_1[31] has a permanently enabled output enable
  1020. Info (169065): Pin GPIO_1[30] has a permanently enabled output enable
  1021. Info (169065): Pin GPIO_1[29] has a permanently enabled output enable
  1022. Info (169065): Pin GPIO_1[28] has a permanently enabled output enable
  1023. Info (169065): Pin GPIO_1[27] has a permanently enabled output enable
  1024. Info (169065): Pin GPIO_1[26] has a permanently enabled output enable
  1025. Info (169065): Pin GPIO_1[25] has a permanently enabled output enable
  1026. Info (169065): Pin GPIO_1[22] has a permanently enabled output enable
  1027. Info (169065): Pin GPIO_1[34] has a permanently enabled output enable
  1028. Info (169065): Pin GPIO_1[33] has a permanently enabled output enable
  1029. Info (169065): Pin GPIO_1[35] has a permanently enabled output enable
  1030. Warning (335093): TimeQuest Timing Analyzer is analyzing 1 combinational loops as latches.
  1031. Warning (332043): Overwriting existing clock: altera_reserved_tck
  1032. Warning (332174): Ignored filter at embedded.sdc(49): inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1] could not be matched with a pin
  1033. Warning (332049): Ignored create_generated_clock at embedded.sdc(49): Argument <targets> is an empty collection
  1034. Info (332050): create_generated_clock -name {SDRAM_CLK} -source [get_ports {CLOCK_50}] -master_clock {CLOCK_50} [get_pins {inst2|dram_pll|DE_Clock_Generator_System|pll|clk[1]}]
  1035. Warning (332174): Ignored filter at embedded.sdc(101): pzdyqx* could not be matched with a keeper
  1036. Warning (332049): Ignored set_false_path at embedded.sdc(101): Argument <to> is an empty collection
  1037. Info (332050): set_false_path -from [get_keepers {altera_reserved_tdi}] -to [get_keepers {pzdyqx*}]
  1038. Warning (332060): Node: KEY[0] was determined to be a clock but was found without an associated clock assignment.
  1039. Warning (332056): PLL cross checking found inconsistent PLL clock settings:
  1040. Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
  1041. Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
  1042. Warning (332056): Node: inst2|pll|DE_Clock_Generator_Audio|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
  1043. Warning (332060): Node: KEY[0] was determined to be a clock but was found without an associated clock assignment.
  1044. Warning (332056): PLL cross checking found inconsistent PLL clock settings:
  1045. Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[0] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
  1046. Warning (332056): Node: inst2|pll|DE_Clock_Generator_System|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 20.000
  1047. Warning (332056): Node: inst2|pll|DE_Clock_Generator_Audio|pll|clk[1] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
  1048. Error (204004): Can't generate output netlist file -- port "GPIO_1" of the top-level design entity is an unsupported type
  1049. Error: Quartus II 32-bit EDA Netlist Writer was unsuccessful. 1 error, 0 warnings
  1050. Error: Peak virtual memory: 401 megabytes
  1051. Error: Processing ended: Fri May 23 10:21:31 2014
  1052. Error: Elapsed time: 00:00:02
  1053. Error: Total CPU time (on all processors): 00:00:01
  1054. Error: Peak virtual memory: 401 megabytes
  1055. Error: Processing ended: Fri May 23 10:21:31 2014
  1056. Error: Elapsed time: 00:00:02
  1057. Error: Total CPU time (on all processors): 00:00:01
  1058. Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 439 warnings
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement