Advertisement
toweber

mult_clk.v

Aug 29th, 2022
1,017
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module mult_clk(in1, in2, clk, out);  
  2.    input [3:0] in1;
  3.    input [3:0] in2;  
  4.    output[7:0] out;
  5.    input       clk;
  6.    
  7.    reg [7:0]   out;
  8.        
  9.    always @(posedge clk)
  10.      begin
  11.         out = in1*in2;
  12.      end
  13.        
  14. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement