Advertisement
Guest User

Double precision multiplier

a guest
Dec 5th, 2019
97
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 4.44 KB | None | 0 0
  1.  
  2. module double_multiplier(
  3. input_a,
  4. input_b,
  5. input_a_stb,
  6. input_b_stb,
  7. output_z_ack,
  8. clk,
  9. rst,
  10. output_z,
  11. output_z_stb,
  12. input_a_ack,
  13. input_b_ack);
  14.  
  15.  
  16. input clk;
  17. input rst;
  18. input [63:0] input_a;
  19. input input_a_stb;
  20. output input_a_ack;
  21. input [63:0] input_b;
  22. input input_b_stb;
  23. output input_b_ack;
  24. output [63:0] output_z;
  25. output output_z_stb;
  26. input output_z_ack;
  27. reg s_output_z_stb;
  28. reg [63:0] s_output_z;
  29. reg s_input_a_ack;
  30. reg s_input_b_ack;
  31. reg [3:0] state;
  32. parameter get_a = 4'd0,
  33. get_b = 4'd1,
  34. unpack = 4'd2,
  35. special_cases = 4'd3,
  36. normalise_a = 4'd4,
  37. normalise_b = 4'd5,
  38. multiply_0 = 4'd6,
  39. multiply_1 = 4'd7,
  40. normalise_1 = 4'd8,
  41. normalise_2 = 4'd9,
  42. round = 4'd10,
  43. pack = 4'd11,
  44. put_z = 4'd12;
  45. reg [63:0] a, b, z;
  46. reg [52:0] a_m, b_m, z_m;
  47. reg [12:0] a_e, b_e, z_e;
  48. reg a_s, b_s, z_s;
  49. reg guard, round_bit, sticky;
  50. reg [107:0] product;
  51. always @(posedge clk)
  52. begin
  53. case(state)
  54. get_a:
  55. begin
  56. s_input_a_ack <= 1;
  57. if (s_input_a_ack && input_a_stb) begin
  58. a <= input_a;
  59. s_input_a_ack <= 0;
  60. state <= get_b;
  61. end
  62. end
  63. get_b:
  64. begin
  65. s_input_b_ack <= 1;
  66. if (s_input_b_ack && input_b_stb) begin
  67. b <= input_b;
  68. s_input_b_ack <= 0;
  69. state <= unpack;
  70. end
  71. end
  72. unpack:
  73. begin
  74. a_m <= a[51 : 0];
  75. b_m <= b[51 : 0];
  76. a_e <= a[62 : 52] - 1023;
  77. b_e <= b[62 : 52] - 1023;
  78. a_s <= a[63];
  79. b_s <= b[63];
  80. state <= special_cases;
  81. end
  82. special_cases:
  83. begin
  84. //if a is NaN or b is NaN return NaN
  85. if ((a_e == 1024 && a_m != 0) || (b_e == 1024 && b_m != 0)) begin
  86. z[63] <= 1;
  87. z[62:52] <= 2047;
  88. z[51] <= 1;
  89. z[50:0] <= 0;
  90. state <= put_z;
  91. //if a is inf return inf
  92. end else if (a_e == 1024) begin
  93. z[63] <= a_s ^ b_s;
  94. z[62:52] <= 2047;
  95. z[51:0] <= 0;
  96. state <= put_z;
  97. //if b is zero return NaN
  98. if (($signed(b_e) == -1023) && (b_m == 0)) begin
  99. z[63] <= 1;
  100. z[62:52] <= 2047;
  101. z[51] <= 1;
  102. z[50:0] <= 0;
  103. state <= put_z;
  104. end
  105. //if b is inf return inf
  106. end else if (b_e == 1024) begin
  107. z[63] <= a_s ^ b_s;
  108. z[62:52] <= 2047;
  109. z[51:0] <= 0;
  110. //if b is zero return NaN
  111. if (($signed(a_e) == -1023) && (a_m == 0)) begin
  112. z[63] <= 1;
  113. z[62:52] <= 2047;
  114. z[51] <= 1;
  115. z[50:0] <= 0;
  116. state <= put_z;
  117. end
  118. state <= put_z;
  119. //if a is zero return zero
  120. end else if (($signed(a_e) == -1023) && (a_m == 0)) begin
  121. z[63] <= a_s ^ b_s;
  122. z[62:52] <= 0;
  123. z[51:0] <= 0;
  124. state <= put_z;
  125. //if b is zero return zero
  126. end else if (($signed(b_e) == -1023) && (b_m == 0)) begin
  127. z[63] <= a_s ^ b_s;
  128. z[62:52] <= 0;
  129. z[51:0] <= 0;
  130. state <= put_z;
  131. end else begin
  132. //Denormalised Number
  133. if ($signed(a_e) == -1023) begin
  134. a_e <= -1022;
  135. end else begin
  136. a_m[52] <= 1;
  137. end
  138. //Denormalised Number
  139. if ($signed(b_e) == -1023) begin
  140. b_e <= -1022;
  141. end else begin
  142. b_m[52] <= 1;
  143. end
  144. state <= normalise_a;
  145. end
  146. end
  147. normalise_a:
  148. begin
  149. if (a_m[52]) begin
  150. state <= normalise_b;
  151. end else begin
  152. a_m <= a_m << 1;
  153. a_e <= a_e - 1;
  154. end
  155. end
  156. normalise_b:
  157. begin
  158. if (b_m[52]) begin
  159. state <= multiply_0;
  160. end else begin
  161. b_m <= b_m << 1;
  162. b_e <= b_e - 1;
  163. end
  164. end
  165. multiply_0:
  166. begin
  167. z_s <= a_s ^ b_s;
  168. z_e <= a_e + b_e + 1;
  169. product <= a_m * b_m * 4;
  170. state <= multiply_1;
  171. end
  172. multiply_1:
  173. begin
  174. z_m <= product[107:55];
  175. guard <= product[54];
  176. round_bit <= product[53];
  177. sticky <= (product[52:0] != 0);
  178. state <= normalise_1;
  179. end
  180. normalise_1:
  181. begin
  182. if (z_m[52] == 0) begin
  183. z_e <= z_e - 1;
  184. z_m <= z_m << 1;
  185. z_m[0] <= guard;
  186. guard <= round_bit;
  187. round_bit <= 0;
  188. end else begin
  189. state <= normalise_2;
  190. end
  191. end
  192. normalise_2:
  193. begin
  194. if ($signed(z_e) < -1022) begin
  195. z_e <= z_e + 1;
  196. z_m <= z_m >> 1;
  197. guard <= z_m[0];
  198. round_bit <= guard;
  199. sticky <= sticky | round_bit;
  200. end else begin
  201. state <= round;
  202. end
  203. end
  204. round:
  205. begin
  206. if (guard && (round_bit | sticky | z_m[0])) begin
  207. z_m <= z_m + 1;
  208. if (z_m == 53'h1fffffffffffff) begin
  209. z_e <=z_e + 1;
  210. end
  211. end
  212. state <= pack;
  213. end
  214. pack:
  215. begin
  216. z[51 : 0] <= z_m[51:0];
  217. z[62 : 52] <= z_e[11:0] + 1023;
  218. z[63] <= z_s;
  219. if ($signed(z_e) == -1022 && z_m[52] == 0) begin
  220. z[62 : 52] <= 0;
  221. end
  222. //if overflow occurs, return inf
  223. if ($signed(z_e) > 1023) begin
  224. z[51 : 0] <= 0;
  225. z[62 : 52] <= 2047;
  226. z[63] <= z_s;
  227. end
  228. state <= put_z;
  229. end
  230. put_z:
  231. begin
  232. s_output_z_stb <= 1;
  233. s_output_z <= z;
  234. if (s_output_z_stb && output_z_ack) begin
  235. s_output_z_stb <= 0;
  236. state <= get_a;
  237. end
  238. end
  239. endcase
  240. if (rst == 1) begin
  241. state <= get_a;
  242. s_input_a_ack <= 0;
  243. s_input_b_ack <= 0;
  244. s_output_z_stb <= 0;
  245. end
  246. end
  247. assign input_a_ack = s_input_a_ack;
  248. assign input_b_ack = s_input_b_ack;
  249. assign output_z_stb = s_output_z_stb;
  250. assign output_z = s_output_z;
  251. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement