Advertisement
Guest User

Untitled

a guest
Apr 1st, 2020
100
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.13 KB | None | 0 0
  1. module clks(
  2. input clk,
  3. output [15:0] led
  4. );
  5.  
  6. reg tenMHz = 0;
  7. reg oneMHz = 0;
  8. reg hunkHz = 0;
  9. reg tenkHz = 0;
  10. reg [15:0] count = 0;
  11. assign led[0] = count[0]; //50MHz
  12. assign led[1] = count[1]; //25MHz
  13. assign led[2] = count[2]; //12.5MHz
  14. assign led[3] = count[3]; //6.25MHz
  15. assign led[4] = count[4]; //3.13MHz
  16. assign led[5] = count[5]; //1.56MHz
  17. assign led[6] = count[6]; //0.78MHz
  18. assign led[7] = count[7]; //0.39MHz
  19. assign led[8] = count[8]; //195kHz
  20. assign led[9] = count[9]; //97.7kHz
  21. assign led[10] = count[10]; //48.8kHz
  22. assign led[11] = count[11]; //24.4kHz
  23.  
  24. assign led[12] = tenMHz; //10Mhz
  25. assign led[13] = oneMHz; //1MHz
  26. assign led[14] = hunkHz; //100kHz
  27. assign led[15] = tenkHz; //10kHz
  28.  
  29. always @(posedge clk) begin
  30. count = count + 1;
  31.  
  32. if(count % 10 == 0) tenMHz = ~tenMHz;
  33. else if(count % 100 == 0) oneMHz = ~oneMHz;
  34. else if(count % 1000 == 0) hunkHz = ~hunkHz;
  35. else if(count % 10000 == 0) tenkHz = ~tenkHz;
  36.  
  37.  
  38. end
  39.  
  40. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement