Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- CCRs Fixed in Release 19.1 ISR1
- ================================================
- CCMPR02122206 Crash in read_def with 19.11
- CCMPR02122181 Unable to run floorplanning
- CCMPR02120749 User set timing derates are getting overwritten
- CCMPR02119829 scandef errors while restoring flattened ILM DB
- CCMPR02118446 Innovus 19.10 is locking up during ccopt_design CUI
- CCMPR02118241 selectInst crashes in 19.11_e088
- CCMPR02116891 Fatal crash during place_opt_design with Innovus 19.11-e081_1
- CCMPR02115286 place_opt crashing during scheduling file restore in ECF
- CCMPR02114856 Coloring is crashing at NR::sort_inst() on one of the designs
- CCMPR02114633 The add_fillers command causes Innovus 19.1 to hang for customer in tapeout. Works with 18.13-s088_1.
- CCMPR02113715 select_bump -net <netname> is not selecting the corresponding bumps
- CCMPR02112033 SEGV on a design
- CCMPR02111670 detachTerm SEGV due to user usage error
- CCMPR02111184 place_opt_design -opt crashes after SKP initialization
- CCMPR02110538 assignPtnPin SEGV
- CCMPR02110373 optDesign got crashed at spsInstMapLLG::getContextDemandInRange
- CCMPR02110294 Nanoroute creates patch wires on _SADP_FILLS_RESERVED in N5 node
- CCMPR02109985 Innovus crashed in optimization during DrvOpt
- CCMPR02109757 SEGV during "routeDesign -trackOpt"
- CCMPR02108858 Run eGR for better congestion prediction
- CCMPR02108852 Timing still jumps a lot if redo full eGR-steiner with incr-eGR-steiner on
- CCMPR02108281 Innovus does not connect supply pins from apply_power_model -supply_map
- CCMPR02107699 Crash at the AddStripe command
- CCMPR02107624 1911 place_opt_design crash
- CCMPR02107247 Early global router bad clock routing with NDR tracks defined
- CCMPR02106808 fterm location changed after write_db and then read_db
- CCMPR02106711 SEGV during iSpatial
- CCMPR02106530 Tool crash at dbsStripBoxGroupMgr::iterator globalDetailRoute due to missing power connection in netlist
- CCMPR02105917 18.13 CCOpt SEGV on "RefinePlaceCaller::ReportMovementHelper(const InstRec& instRec,"
- CCMPR02105502 saveDesign crashing with 19.1-p002_1
- CCMPR02105497 addEndCap crashing randomly in mixed placer flow with 19.11
- CCMPR02105432 **WARN: (IMPSC-1026): Instance pin %s connects to net %s with multiple (5) drivers
- CCMPR02104875 place_opt_design crash
- CCMPR02104801 19.11 postcts crash before hold fixing
- CCMPR02104799 19.11 report_power crash
- CCMPR02104685 Innovus crash in place_opt_design 18.13 CUI
- CCMPR02104677 Innovus crash with placeInstance of large delay cell
- CCMPR02104565 Innovus crashing right after checkFPlan with 19.11
- CCMPR02104540 Crash during routeDesign
- CCMPR02104528 Bus Planning - place_opt_design adds buffers outside busGuide
- CCMPR02104489 Design load crashing in 18.15
- CCMPR02103946 eco_oa_design gives error in 18.14
- CCMPR02103187 UPF file duplication lines on set_iso*
- CCMPR02102533 Need Secondary PG connection to extend top stripe to switch cell pin
- CCMPR02102523 Tool crashes during the optDesign -postCTS command
- CCMPR02102514 verify_drc reports a false EOL-Keepout violation
- CCMPR02102399 earlyGlobalRoute crashed due to user's incorrect -earlyGlobalMinRouteLayer setting
- CCMPR02102357 Innovus is unable to generate DRC clean multicut power vias
- CCMPR02102330 Power routing is not adding vias in 18.14-e070 and later
- CCMPR02101742 signoffTimeDesign command is checking out two Tempus licenses when only one is required
- CCMPR02101735 Tempus eco crash during drv optimziation
- CCMPR02101728 Filler node crashing while executing the verifyLitho command
- CCMPR02101712 Crash during global placement
- CCMPR02101422 Need help on Tempus TSO tool crash during invocation of eco_opt_design -hold
- CCMPR02101155 savePartition crash in 19.71-e003
- CCMPR02101131 Crash during routeDesign -highFrequency
- CCMPR02101005 Innovus crash with globalDetailRoute during the Route stage
- CCMPR02100922 SEGV when "place_opt_design -no_pre_place_opt" from iSpatial DB
- CCMPR02099805 M4 EOL keepout violations
- CCMPR02099791 M2 EOL keepout violations
- CCMPR02099754 Place stage in ccopt_design command crash
- CCMPR02099753 routeDesign reports ERROR: NR History Map is not available in dbs
- CCMPR02099708 optDesign postRoute timing difference between fullDC timing and optimization
- CCMPR02099683 **ERROR: (IMPTCM-4) happens in create_proto_model
- CCMPR02099655 Extraction crashes when running report_timing in 19.71-e003_1
- CCMPR02099500 place_opt_design hangs after issuing **WARN: (IMPSP-9089): Feature 'LEF ROWPATTERN' is obsolete
- CCMPR02099187 Utilization test - EGR correlation
- CCMPR02099049 TQuantus crash inside routeDesign
- CCMPR02099034 Editpowervia creates M2 Same metal aligned cut violations with cell geometries
- CCMPR02098961 FC route leaving a mess for some bumps when solution seems simple
- CCMPR02098657 Improve grid coarsening heuristic in line with suggestions for CCR 2089602
- CCMPR02098412 routeDesign crash
- CCMPR02098384 write_floorplan_script does not write out the same location for bump in tcl as create_bump -location issued
- CCMPR02098318 Crash during saveDesign
- CCMPR02097764 Crash during place_opt_design using 19.11
- CCMPR02097660 Negative shielding ratio is being reported during the clock stage
- CCMPR02097472 Innovus 171 routeDesign segmentation fault
- CCMPR02096845 Very high runtime of ~36hrs for first areaReclaim call in place_opt_design
- CCMPR02096512 19.11 postRoute DrvOpt NBF transform high CPU time due to forward depth 2 sub timing graph eval
- CCMPR02096495 19.11 postRoute DrvOpt Resize transform high CPU time due to large sub timing graph size
- CCMPR02096476 Update to latest UPF parser (d016)
- CCMPR02095873 SEGV Crash at refine place after DRV optimization
- CCMPR02095823 Incorrect consideration for top and bottom rects of standard via variant
- CCMPR02095681 optDesign utilizes incorrect MBFF cells from library binding in MSV design
- CCMPR02095557 optDesign -postCTS crashes with 18.14-e058_1
- CCMPR02095543 Fatal ERROR when trying to run ecoAddRepeater
- CCMPR02095542 ecoRoute not called after refine place within postroute opt
- CCMPR02095007 When using ORIENT syntax to TLEF, layer via is ignored in IMPLF-420
- CCMPR02094945 SEGV during place initial on a design
- CCMPR02094940 ecoRoute not fixing via0 violations
- CCMPR02094916 NanoRoute: further improvement on fixing false EOL and trim violations
- CCMPR02094830 Crash during routeDesign -trackOpt
- CCMPR02094692 Innovus crashing while running the ecoAddRepeater command
- CCMPR02094140 assignPtnPin SEGV
- CCMPR02094112 Restricted size-only constraint not honored for some cases during preCTS optimization
- CCMPR02094063 SEGV during place_opt_design -phys_syn
- CCMPR02094036 CUI equivalent of legacy command setNanoRouteMode -drouteCheckMarOnCellPin
- CCMPR02093958 Pins not aligning with align pin. Choosing closer edge rather than aligning
- CCMPR02093491 flip_chip_router causes SEGV using 19.11-e044_1
- CCMPR02093320 addStripe failed to create M4 PG stripes cross the whole core area correctly
- CCMPR02092585 SaveDesign Error out with **ERROR: (IMPSYC-1919)**
- CCMPR02092437 Routing runtime not competitive
- CCMPR02092108 MBF mapping file is incorrect after split
- CCMPR02092095 Crash during routeDesign in a design
- CCMPR02091794 Incorrect delay calculation in IO PG lib
- CCMPR02091657 SEGV during ecoRoute - Extracting RC
- CCMPR02091577 ccopt_design continues to leave transition violations
- CCMPR02091559 Nanoroute crashes when loading APA file
- CCMPR02091370 SEGV during extractRC in postroute top-level DB
- CCMPR02091063 Bug fix for pgFill -fixIsoVia support
- CCMPR02090673 SEGV during route
- CCMPR02090323 Innovus 19.1 Crash during place_opt
- CCMPR02090170 Crash during the placeopt stage when customer is trying custom buffering
- CCMPR02089616 Passive fill generates DRC violations
- CCMPR02089524 19.11: postRoute TNS opt NBF CPU time is high comparing to other transform due to too many legal loc check
- CCMPR02089507 read_parasitics failing to read its own written rcdb file under routeDesign -trackOpt
- CCMPR02089402 Crash in delay calculation in optDesign -postRoute
- CCMPR02089181 WidthTable Violation
- CCMPR02089048 Macro poly DRC violation in mixed mode
- CCMPR02088394 Innovus 19.11-e024_1 First ecoRoute in optDesign -postRoute has SEGV
- CCMPR02088301 19.11: Too many refinePlace were called even no non-legal commit during optimization in postroute opt
- CCMPR02088217 "Non-pin * cannot be skew_group sink" messages related to multibit flops during ccopt_design
- CCMPR02087923 postCTS hold fixing crashes with SEGV
- CCMPR02087717 Crash during eco Implementation
- CCMPR02087647 globalDetailRoute SEGV while restoring pin access data from .apa file
- CCMPR02087294 saveDesign crashes after postroute optimization with the ILM-based flow
- CCMPR02087027 Make passivation layer independent to other layers
- CCMPR02086273 Innovus 1801 output has -update {} and -supply {} which make the output unreadable
- CCMPR02086023 GUI hang after gui_highlight gui_show
- CCMPR02085574 GUI display speed status will auto change
- CCMPR02085341 Nanoroute does not appear to be using METALWIDTHVIAMAP for MUSTJOIN connections
- CCMPR02085302 chip_top "ecoRoute" stuck for 15hrs at a step of marking dirty area
- CCMPR02085254 Enhancement request to elaborate the path groups in the timeDesign summary
- CCMPR02085242 IMM crash in 19.11e036
- CCMPR02084614 Crash during ccopt_design -cts
- CCMPR02084601 NR leaving mustjoin pins disconnected
- CCMPR02084589 Off Trim Grid Vioation
- CCMPR02084571 19.11: Hold don't touch marking is taking long time
- CCMPR02084455 Crash observed during saveDesign
- CCMPR02084341 Crash during eco commands
- CCMPR02084324 SMAC violation after postRoute pg hook-up
- CCMPR02083810 19.11 SEGV at esiDcalc::createMultiDrvTask
- CCMPR02083788 AssignIOPin not assigning clock pin with ECF
- CCMPR02083751 pgFill -fix_iso_via crashes with multicpu
- CCMPR02083393 Post-route optimization tool crash
- CCMPR02083234 Innovus apply_port_map does not reconnect ports connected to constants
- CCMPR02083132 Support halo placement for "even height" block sizes
- CCMPR02082651 freeDesign does not clear via information by add_via_definition
- CCMPR02082510 Multi-Bit cells not being inferred by Innovus
- CCMPR02082402 addRing fails with IMPPP-4051 if the ring is generated to align with block boundary
- CCMPR02082146 18.14: place_opt_design with early clock flow SEGV
- CCMPR02082039 19.11 crash during ccopt_design -check_prerequisites
- CCMPR02081781 Tool crashes during oaIn
- CCMPR02081636 place_opt_design crash in optDesign phase
- CCMPR02081585 18.1/19.1 scanTrace after place_opt_design gives warn of IMPSC-1151
- CCMPR02081556 end_parallel_edit comes out with SEGV
- CCMPR02081382 HardenOpt is taking 40 minutes without any commit in postroute opt
- CCMPR02081292 18.1/19.1 scanTrace gives warn 1138 if run twice
- CCMPR02081233 Crash reported during saveDesign in the floorplan stage
- CCMPR02080914 Tool crash running verifyPowerDomain
- CCMPR02080803 There was a short between M2 two pins of a cell placed next to the PG stripe
- CCMPR02080792 The field "pin group" is not updated automatically in pin Editor
- CCMPR02080777 Trim to trim spacing violation
- CCMPR02080736 Missing inserted routing trim on top of pre-defined cell trim OBS
- CCMPR02080717 Crash during oaIn with different cell name with design cell
- CCMPR02080455 Need improvement for total fullDC runtime
- CCMPR02080369 NRHF crash while routing 6K nets
- CCMPR02079728 New off trim grid violations with NR
- CCMPR02079659 Enhance moderate PG via insertion to align vias instead of using checkboard pattern
- CCMPR02079374 NRHF crash ExportRipin
- CCMPR02079337 Tie cell addition fails for many pins after taking 15+ hours, keep on applying GNCs in loop
- CCMPR02078612 tQuantus is not honoring layer based RC scaling on SEC10
- CCMPR02078459 summaryReport -outDir does not output all files into the specified outdir
- CCMPR02078420 addStripe with stapling style will not generate full expected for M4 in channel
- CCMPR02078095 Innovus not able to support CPF input/ouput_domain options in create_level_shifter_rule
- CCMPR02078075 place_opt_design uses wrong clock_latency value
- CCMPR02077863 Unacceptable CTS memory/runtime tracing a macro with complex internal structure
- CCMPR02077830 Manual ICG sizing leads to better postCTS QoR compared to default skewClock sizing
- CCMPR02077823 ccopt_design error out with "MT ERROR" messages
- CCMPR02077284 apply_power_model -port_map not making connections
- CCMPR02077263 setTopCell command is crashing when invoked from GUI
- CCMPR02077202 Incorrect mbit naming by Innovus causing NEQs in LEC
- CCMPR02077100 Need Max Layer control for Shield tapping
- CCMPR02077099 place_opt_design crash with 19.11
- CCMPR02076922 Sspecial-via search box too small when considering altered pre-existing metal-shapes. Leads to drc errors
- CCMPR02076860 Need GUI enhancement for adding zoomTo -radius option
- CCMPR02076566 CCOpt Halos not being applied to clock roots
- CCMPR02076515 M2 EOL violation not flagged by verify_drc
- CCMPR02076308 19.1 qor degradation when compared to 18.12
- CCMPR02076247 VIA2 spacing violations within Invs18.14
- CCMPR02076112 Innovus does not connect supply nets properly when apply_power_model is used
- CCMPR02076099 saveDesign cannot override the starting DBS when setOaxMode -locking true
- CCMPR02075993 M1 shorts seen during Nano route
- CCMPR02075786 verifyConnectivity detects open error at net accessing pins as feedthrough
- CCMPR02075746 Large increatse in routing DRCs when enabling passive fill
- CCMPR02075577 trimDesign getting stuck in Innovus 19.10-b706_1
- CCMPR02075445 Power bump routing using fcroute
- CCMPR02075429 Massive detour for a net on critical path
- CCMPR02075313 One pass run with preCTS useful skew run progress is very slow
- CCMPR02075239 ecoRoute in distributed mode flags false adjacent cut violations not seen in non-distributed mode
- CCMPR02075160 Dangling wires left after ecoRoute in 18.14_e043_1
- CCMPR02075154 Crash while NR starts routing of the clock nets during ccopt_design
- CCMPR02074980 fcroute shorts and opens
- CCMPR02074966 fcroute taps wrong VSSO pin
- CCMPR02074539 18.11-s100_1 crash when running "get_lib_clock_tree_path_delay"
- CCMPR02074460 M1 routing under X1 trim layer
- CCMPR02074300 ccopt_design hang during clustering
- CCMPR02074069 addStripe causing segmentation fault when "-power_domains" is specified
- CCMPR02073996 19.10 routeDesign 30% peak memory degradation compared to 18.12
- CCMPR02073717 Pin access analysis takes 7 hours in one cell
- CCMPR02073681 NRHF crash, CheckPinAccess
- CCMPR02073600 Innovus gets confused about colors when importing from display.drf
- CCMPR02073498 Huge DRC jump at the end of optDesign -postRoute
- CCMPR02073423 CTD SEGV selecting Constraints - dont touch on clock mesh design
- CCMPR02073380 delete_dangling_port introduce error IMPSYC-1919
- CCMPR02073245 SEGV during route_secondary_pg_pins in timing_driven
- CCMPR02073054 _SADP_FILLS_RESERVED is written to Netlist
- CCMPR02072973 checkPlace/place_opt_design reporting false pin access violations
- CCMPR02072971 DIAG in 18.13-s088_1
- CCMPR02072915 place_opt_design not honoring selective sizeonly for all the specified instances
- CCMPR02072913 Incorrect error message for 'addStripe -power_domains' and inconsistent behavior between multi/single PD
- CCMPR02072886 trackOpt seeing very optimistic timing compared to postRouteOpt - 90nm
- CCMPR02072858 addStripe creates euclidean spacing violations to 45-degree edges of bumps
- CCMPR02072754 Missing VIA definition in DEF
- CCMPR02072685 ERROR: (internal Tcl error) 'invalid command name "cbGet"
- CCMPR02072464 This switch "-usePostCTSHighFanoutNetFixing" is still leaving some HFN unfixed during postcts
- CCMPR02072308 Slack changes after reset_path_group
- CCMPR02072224 User gets repeatable stack trace when selecting an IO pad
- CCMPR02072197 editAddRoute does not honor assign multi pattern color
- CCMPR02072146 place_opt_design crash
- CCMPR02071689 Innovus 1801 3.0: invalid command name "::MSV_UPF::define_power_model"
- CCMPR02071682 addTieHiLo creates a new net with empty props
- CCMPR02071594 FlexILM for blocks does not show partition pins in Hierarchical DB when block pins have PLACED status.
- CCMPR02071430 ICG pin alignment not having pins aligned
- CCMPR02071161 SEGV during saveDesign in 19.10-b706_1
- CCMPR02071129 Wire spreading causes lots of DRC in "LEF58_EOLEXTENSIONSPACING"
- CCMPR02070901 19.1 code bash: routeDesign left more than 1000 DRCs on M3/M4 in 19.1 compared to 18.1
- CCMPR02070851 PSW enable In/Out pin are not in ALWAYS ON power domain
- CCMPR02070822 Miss out PA violation Hybrid row flow
- CCMPR02070754 Seeing NRDB-671 warning that PG nets are not routed in 19.10-b706
- CCMPR02070725 Router hang on a testcase
- CCMPR02070681 SEGV during timing optimization under power reclaim
- CCMPR02069894 Star router using 19.11-e010 results in 44 opens where it can be resolved manually
- CCMPR02069870 fixCellEMViolation can NOT fix all violation due to NoViewFound ERROR reason
- CCMPR02069867 deleteFiller -inst print out messages for each deleted filler cells
- CCMPR02069497 Crash during optDesign -postRoute on chip level
- CCMPR02069357 addRepeaterByRule is not buffering some nets
- CCMPR02069314 report_metric should use the id itself as a tag name while comparing multiple metrics instead of "G" "T1"
- CCMPR02069267 ECF not able to identify all ICGs with multi driver net
- CCMPR02069237 Large TAT and memory jump during postCtsHold due to high fanout net from place_opt
- CCMPR02069186 UseMultiCutViaEffort hard - Huge runtime and DRC viols because NR does not use appropriate VIA12 vias
- CCMPR02069052 Problem of memory in deleteRouteBlk
- CCMPR02069016 skewClock should support ICG sizing having ICG clock pins connected to multi driven net
- CCMPR02068981 place_opt_design -place takes longer runtime during global placement
- CCMPR02068863 ccopt_design should accept ILM model type "SI" and just use the data it needs
- CCMPR02068721 CCOPT crash in cell filtering
- CCMPR02068527 delete_assign issues false warning since no new instance/net are created
- CCMPR02068257 Crash during ecoRoute for FE Block
- CCMPR02068186 optDesign is coming out with illegal placement
- CCMPR02068129 Stack trace a flattenPartition
- CCMPR02068105 via pillars create short with M2 cell blockage
- CCMPR02068057 refinePlace hanging again, not finding legal locations for cells due to "Other"
- CCMPR02068045 'colorizePowerMesh' crashed with 18.14-e033_1
- CCMPR02067999 Stack trace during DRV optimization at Postroute
- CCMPR02067997 Innovus route stage end up with many un-routed (open net)
- CCMPR02067401 Very long runtime of global route during ecoRoute
- CCMPR02067384 displayScanChain does not work in an interactive session
- CCMPR02067381 Unnecessary jogs during FlexH routing
- CCMPR02066879 reportVtInstCount is giving stack trace
- CCMPR02066708 High Runtime during detail route
- CCMPR02066646 Sizing in "skewClock -postRoute" is not honoring "set_ccopt_property buffer_cells { ..} -clock_tree"
- CCMPR02066621 NanoRoute leaves fixable Cut Short violations
- CCMPR02066461 Runtime consumed by checking antenna on constant nets
- CCMPR02066079 Routing DRC caused by via pillars during postRoute Opt
- CCMPR02066026 Stack trace at the start of Postroute while restoring markers from Route Db
- CCMPR02065956 Checkplace reports false TPO violations after filler insertion
- CCMPR02065949 Secondary PG for merged nwell ignores some un-connected pins (with log message)
- CCMPR02065944 SEGV on IMPSP-2031 error message during place_connected
- CCMPR02065885 Tool hangs at the gen_net of droute during via pillar insertion
- CCMPR02065879 18.13e070 exits with synthesize_flexible_htrees command without completing htree routes, errors with 18.13e050
- CCMPR02065638 Innovus false forbidden spacing My.S.27 violation
- CCMPR02065573 Crash in top-level postcts_hold (ilm design)
- CCMPR02065317 Route hangs
- CCMPR02065062 Fail to route net in region. Set net to open
- CCMPR02065046 Target-based Opt file has parsing error with bit slice name
- CCMPR02064996 ccopt_design -cts stage crashes during the clustering stage
- CCMPR02064583 ccopt_design hangs
- CCMPR02064372 Detail routing initial DRC count is very high without the option '-routeEcoOnlyInLayers'
- CCMPR02064336 Issues when importing Virtuoso colors into Innovus
- CCMPR02064293 place_connected not placing ICG close to macro
- CCMPR02064292 Antenna diodes placed in incorrect power domain during routeDesign
- CCMPR02064190 verify_drc segfaults with 18.1x
- CCMPR02064153 pdzgSetNbInfoMC() SEGV.
- CCMPR02063566 signoff extraction is not working in 18.13 & 17.16 - same scripts worked OK in 17.15
- CCMPR02063550 route_details is resulting in false trim OBS short violations
- CCMPR02063543 SEGV during editPowerVia
- CCMPR02063528 NR is reporting off trim grid violations that are not real
- CCMPR02063420 Error while restoring the design
- CCMPR02063403 Crash in Global Placement
- CCMPR02063022 OPT uses much less via pillars when cut class for STACKVIARULE is not defined in rule LEF
- CCMPR02062972 1801 produces error IMPDB-1207 when supply set is not fully defined before apply_power_model
- CCMPR02062862 Long runtime of "editDelete -net" command
- CCMPR02062538 NanoRoute SEGV
- CCMPR02062475 17.1 & 18.1: Crash in post-route opt (CCOpt) from coePostCommitDelayUpdater / CompressedWave, ground voltage is not 0
- CCMPR02062301 Buffering issue due to Iso cells missing in Innovus Stylus
- CCMPR02062186 ecoRoute stops with #ERROR (NRDB-158) Missing via
- CCMPR02061999 SEGV while doing GUI edits
- CCMPR02061944 addFiller not able to insert double height cell
- CCMPR02061900 SEC10 preRoute extraction miscorrelation
- CCMPR02061887 addStripe breaks stripe at selected even when -break_at set to none when power domains exist in design
- CCMPR02061828 Secondary PG pin routing setup does not get saved when Tie cells are not part of Prects Db
- CCMPR02061821 Still difference between timing seen by optimization and timing command
- CCMPR02061152 mesh_vias are not added on the top/bottom 2 rows
- CCMPR02061150 Sstack trace generated during check_design
- CCMPR02061038 Core dumped at ediPowerVia
- CCMPR02060787 Attribute editor should have the editChangeNet -to <net_name> GUI enhancement
- CCMPR02060716 Stack trace while saving OA design after Routing (Stylus)
- CCMPR02060711 Enhancement to enforce row numbers in block and between macros to be multiples of 4
- CCMPR02060651 N/A reported in Expanded views if "ignorePathGroupsForHold {in2reg reg2out in2out default}" specified w/o creating the path group
- CCMPR02060537 Add new option "-specify_cut_class" for user to specify cut class by cut layer
- CCMPR02060307 ccopt_design crashes
- CCMPR02060288 CTS HTree crash
- CCMPR02060249 4 chip_top "optDesign -postRoute -drv" long runtime
- CCMPR02060219 Huge runtime during macro checker
- CCMPR02060201 Innovus is crashing during ecoRoute
- CCMPR02060195 Global skew and band occupancy sometimes broken by late stage CTS
- CCMPR02060125 update_power_vias does not respect via11 blockage over m10 block pins but does over m10 stripes
- CCMPR02060072 Inverting ICG scoring function too restrictive (follow on from CCR 1969990)
- CCMPR02060054 Attribute editor window or any GUI box should be closed with one bind key preferably "esc"
- CCMPR02059995 Wrong design name saved in *.enc.dat/gui_pref.tcl
- CCMPR02059816 set_visible_nets does not work in hierarchy db
- CCMPR02059756 Inconsistent report between budget and justify on port with case
- CCMPR02059644 ecoChangeCell in batch mode SEGV
- CCMPR02059633 addTieHiLo breaks logical equivalency, module based
- CCMPR02059562 Wire gets dropped when writing OA db and reloading
- CCMPR02059548 create_snapshot on restored placeopt DB creates massive timing in GPU block
- CCMPR02059547 checkPlace taking 4-7hrs on a routed design
- CCMPR02059434 Floorplan prediction in Genus calling Innovus 'planDesign' results in SEGV
- CCMPR02059411 sroute SEGV during stripegen target
- CCMPR02059223 congRepair QoR issue when restart new Innovus section
- CCMPR02059140 Innovus fails to optimize critical io group timing with high effort and weight
- CCMPR02059053 addRepeaterByRule SEGV
- CCMPR02058952 sroute moving ports in COVER status to outside the die
- CCMPR02058873 Need to issue a warning message related to tech file error
- CCMPR02058738 Innovus crashing during CTS when using nested fences and the dont_touch_hports attributes after issuing IMPCCOPT-4283
- CCMPR02058346 Need to improve initial timing QoR after NR
- CCMPR02058291 Stack trace during addWellTap in 18.12 and 18.13
- CCMPR02058200 [CPU][NY] Crash after deleting a net
- CCMPR02057772 DIAG [dbWireIO.c:1562:dbiNetNotNeedToSave] during saveDesign
- CCMPR02057589 NR/Swapping vias does not respect hard NDR spacing besides verify_drc cannot detect the errors
- CCMPR02057557 Clock route jogging on preferred routing layers using 18.14
- CCMPR02057549 create_clock_tree_spec output file has typo
- CCMPR02057514 Crash during global routing part of routeDesign
- CCMPR02057474 Manual swapping of ICG improves REG2ICG paths in postcts
- CCMPR02056789 dbget changes deleteFiller behavior
- CCMPR02056738 appending empty lef file list to init_lef_file causes saveDesign link every file in the current run dir
- CCMPR02056633 Innovus crashing on SARC design during QRC extraction
- CCMPR02056023 Does setEcoMode -LEQCheck true affect ecoAddRepeater honoring location parameter
- CCMPR02056011 savePartition with upf should handle inverted control signal
- CCMPR02055978 Crash during save design after refreshing upf, need freeTimingGraph
- CCMPR02055314 Clean up of DIAG messages during preroute extraction due to missing vias in LEF
- CCMPR02055297 Early global route crossing partition boundary at two locations
- CCMPR02055226 PostRoute optDesign crashed during ecoRoute detailRoute
- CCMPR02055209 routeDesign -highFrequency SEGV
- CCMPR02055104 add_gui_shape or addCustomBox no longer allows user to manually resize using GUI due to failed of setObjFPlanBox
- CCMPR02055067 18.13-e070_1 and 18.14 set is_memory to true even for all std cells when voltage scaling lib set is loaded
- CCMPR02054834 Huge amount of Clock ID degradation is observed during egrpc
- CCMPR02054599 SpecifyCellEdgeSpacing -underPG does not work as suggested
- CCMPR02054412 assignTSV takes huge runtime with large number of front bumps
- CCMPR02054352 Order of columns not matching rows
- CCMPR02054161 Innovus shows random behaviour in optDesign -postRoute -setup -hold, in one run crashes during hold optimization
- CCMPR02054105 Crash during placeDesign command
- CCMPR02053999 Fix memory leakage for trimMetalFillNearNet
- CCMPR02053765 OA DB Checker cannot consider standardViaVariant correctly
- CCMPR02053080 CTS crashing at clustering with 17.15 version
- CCMPR02052825 SEGV when do place_opt_design
- CCMPR02052490 HUGE run time is seen while implementing the PG MESH
- CCMPR02051947 SEGV in post-cts opt in ccopt_design after area reclaim
- CCMPR02051261 Back-and-forth buffering observed due to partitioning by UPF logical power domains
- CCMPR02050741 Flip chip router with star algorithm v19.1 results in corrupted routing path data message in a multi pad to bump design
- CCMPR02050703 Flexible htree image colormap change makes the data difficult to use
- CCMPR02050480 Timing miscorrelation moving from tQuantus to iQuantus
- CCMPR02050031 Htree net routed with min cut DRCs
- CCMPR02049947 NR is not updating the default power domain dimension after switching partition
- CCMPR02049946 add_stripe misses via on one of the macro power pins
- CCMPR02049627 fixVia -minstep DIAG issue caused by FGC false report drc
- CCMPR02049322 Mfill cap not being extracted correctly for tQuantus
- CCMPR02049229 Provide an option to allow floating pins to be placed at abutted edge BUT NOT abutting to other floating
- CCMPR02049164 Request to remove escape character in instance name for "create_inst -inst"
- CCMPR02048374 setDesignMode -node S5 causes huge jump in eGR congestion
- CCMPR02048344 Strange routeDesign -wireOpt behavior
- CCMPR02047828 egr misscorrelation with NanoRoute
- CCMPR02047608 Long clock runtime for the block
- CCMPR02047048 streamOut: wrong min/max voltage text labels for 45-degree shapes
- CCMPR02046629 remove_assigns -net is removing assign statements on all the nets in the design
- CCMPR02046466 NanoRoute: further improvement on fixing trim grid violations is needed for certification
- CCMPR02046325 Tran violations reported with report_constraint and reportTranViolation have mismatch in postRoute
- CCMPR02046088 DIAG during create_timing_budget
- CCMPR02045997 saveNetlist creates wrong assign statement for the input port
- CCMPR02045919 When stretching or changing origin of partition, pins get moved outside of partition and off-track
- CCMPR02045849 Not able to build clock tree with in-bound cells
- CCMPR02045829 add_stripes hung for longtime
- CCMPR02045621 Horizontal max length violations are not being fixed by tool
- CCMPR02045449 editPowerVia - same mask metal aligned cuts
- CCMPR02045272 Floorplan file saved with saveDesign is missing area-IO instances
- CCMPR02044918 Innovus should skip any IO cell when collecting domain tech site
- CCMPR02044551 16nm power via array generated with insufficient space between cuts
- CCMPR02044541 '-optimizeFF true' for Hold is degrading DRV on both data and clock
- CCMPR02043881 Crash and DIAG Assert "peIsDesignExtracted() && peiExtStatus" after tQuantus RC extraction
- CCMPR02043363 Map -noapplycpfrule option in CUI and make it public to add_power_switch both in CUI and Legacy
- CCMPR02043269 ecoAddRepeater missing detail warning message if using -net versus -term
- CCMPR02043233 Why top critical net is not layer assigned at place_opt compared to clock?
- CCMPR02043217 ccopt_design removing pre-routed routing
- CCMPR02042583 ecoRoute crashing after restoreDesign -noTiming
- CCMPR02042544 ecoAddRepeater -hinstGuide executed with setEcoMode -batchMode false
- CCMPR02042506 40nm add/check_metal_fill SEGV
- CCMPR02041798 write_power_intent -1801 is taking longer than expected to dump out upf
- CCMPR02041690 Need a message when tool replaces max input delay by min value
- CCMPR02041649 Placer needs to align placement of insts with M3 via pillars wrt M3 PG
- CCMPR02041585 Timing Debugger generates hold data instead of setup data when timing_analysis_check_type is set to hold
- CCMPR02040999 Module function not preserved after place_opt_design -opt
- CCMPR02040993 **ERROR: (IMPESI-3201): Delay calculation failed for net and causing SEGV
- CCMPR02040333 Power grid insertion to support RIGHTWAYONGRIDONLY EXCEPTWIDTH
- CCMPR02040038 IQRC does not see physical connectivity between terminal wire segment (IMPEXT-1392)
- CCMPR02039519 CCOPT SEGV during refine Place
- CCMPR02039062 NR leaves many open nets when access macro pin in a region
- CCMPR02038277 checkPlace issues pin access warnings and violations while router is able to route
- CCMPR02038152 Router is crashing during hotspot fixing in Pattern matching flow
- CCMPR02038098 Pin legality issue causing PG shorts
- CCMPR02037494 Power hookup not happening for always on buffer while using add_port_driver
- CCMPR02037177 AddTieHiLo adding a cell per pin instead of a cell for multiple pins
- CCMPR02036952 Re-generate .apa file automatically if moved or deleted in the previous DB
- CCMPR02036922 globalDetailRoute -selected SEGV
- CCMPR02036415 MIX_PLACER - the PG modeling for stdcell placement is too pessimistic
- CCMPR02036375 [invs] write_floorplan_script command dumps out reg's [string] index without curly braces
- CCMPR02036227 Layer preference not honored for special clock routing with the route_ccopt_clock_tree_nets command
- CCMPR02035281 Request to enhance S20 std cell pin access routing
- CCMPR02035102 addFiller creates edge spacing violations
- CCMPR02034883 Stacked vias not added when THICK_CU_D with is between 2.0 and 2.1
- CCMPR02034653 Filler insertion on placed db leaves gaps and checkPlace DRCs after filler cell insertion
- CCMPR02034353 Powerplan via gen needs to support new CUTCLASS orientation syntax
- CCMPR02033663 Vague errors on reading the same CPF after free_power_intent
- CCMPR02033400 verify_drc does not report violations between stripes and 45-degree bump shapes
- CCMPR02033327 place_opt_design hang with Invs 18.12 and 18.13
- CCMPR02033107 Non-determinism in FDS
- CCMPR02032826 Need routing halo to behave as a hard constraint and apply to stdcells as well
- CCMPR02032364 tQuantus vs signoff_Quantus is not good
- CCMPR02031913 postRoute optDesign makes lots of fixable color violations
- CCMPR02031404 Utilization not competitive
- CCMPR02031150 Partitioning not saving NDR track name to partition's floorplan file
- CCMPR02029175 Improve PRO TAT on large designs (Adaptive EcoRoute Flow)
- CCMPR02028415 Enhance write_lef_abstract to create minimum spacing cut out for non-rectangular pin
- CCMPR02027822 report_path_group_options fails to report -early options
- CCMPR02026581 For Design having ILM create_clock_tree_spec generates 56ns early-tapping on 1 ilm reg clk pin
- CCMPR02026253 Filler cell vertical stack issue
- CCMPR02026031 Observing new zigzag routes with ccoptDesign -cts
- CCMPR02025887 The reportCapViolation -all -min command is not detecting min cap violation on port
- CCMPR02025657 Bug against NR_DRC to not treat trim bridging 2 disjoint wires(pins in this case)
- CCMPR02025200 Automatic via tuning at addStripe could avoid missing vias
- CCMPR02025054 Via blockage and checker function enhancement
- CCMPR02024232 Forbidden spacing issues seen after detail routing
- CCMPR02024132 Clock routing creates stubs on few nets causing EM violations
- CCMPR02023492 NDR violations on M5(6) for via_pillar
- CCMPR02023456 Crash in msvConnectAlwaysOnPowerGround during place_opt_design
- CCMPR02023431 Fill1 gap between MBFF and tap cells
- CCMPR02022973 SAI create_module -gateCount to specify the entire stdcell area not just the flexfiller area
- CCMPR02022953 2x2 TVDD pin via pillar insertion
- CCMPR02022504 Insertion delay due to suboptimal tap assignment
- CCMPR02022480 Shorts during tapering for wide wires
- CCMPR02022275 Lingering 7nm stacked via/MAXCELLEXTENSION issues
- CCMPR02021752 Netlist check failure due to scan chain reorder on chain with macros
- CCMPR02021331 optDesign -postRoute overlooks max_tran violation net
- CCMPR02020831 NRHF routing outside boundary in case no DRC clean path is available
- CCMPR02019093 routeDesign does not honor hard busGuide
- CCMPR02018941 CCopt flexible h-tree drivers placed too close to each other (not honoring cell padding)
- CCMPR02018281 Enhancement to allow addWellTap and other physical placement tools to allow EEQ capability
- CCMPR02017697 CCOpt stuck at the netlist update
- CCMPR02016957 SEGV during clock implementation routing
- CCMPR02016716 ecoRoute fails because of nonexistent segment in PASS layer
- CCMPR02016517 Lack of DRV fixing on N7 top level with INVS 18.11 (unexpected behavior of spGetBoxDemandAndSupply)
- CCMPR02015149 Gigaopt post-eco mode is not running after final area recovery in 18.12-e079_1
- CCMPR02013494 ARR does not add buffers in balanced mode
- CCMPR02012390 Customer wants Innovus to achieve ~179mW of total power Vs current ~200mW for a 1M std-cell only design
- CCMPR02012103 ERROR: (IMPVL-325) in ILM flow when an abstract (LEF) of a submodule is available
- CCMPR02011414 Improve eGR runtime on large designs
- CCMPR02007468 Too many hold fixing buffers getting added
- CCMPR02006782 verify_drc needs to ignore NUT check on M1 layer
- CCMPR02006567 N12, false litho halo violations seen by NanoRoute, 18.11
- CCMPR02005032 Need Innovus to natively fix isolated via violations
- CCMPR02004569 Flightline for rectilinear fences should point to the center of it rather than center of rectangle box
- CCMPR02004466 Command eco_design to support -lef_files option
- CCMPR02003347 route_eco/route_eco -fix_drc leaving 20-30 M1 trim2trim spacing violation in 18.12 builds
- CCMPR01999519 H-tree bottom up pass did not converge
- CCMPR01994813 Inconsistency in postRoute Opt (2nd PRO removal)
- CCMPR01988788 Innovus fixes 300K max_trans in 25 hrs, and it hangs for 18 hours for 1st round fix, without increment info
- CCMPR01982274 New feature to remove tied inputs not working as expected during optimization
- CCMPR01975052 40x improvement in TNS after "place_opt -incr". Improved placement and use of faster buffers
- CCMPR01972186 MAR on fixed VIA when route_eco
- CCMPR01969151 Huge timing difference reported after running extractRc followed with report_timing in innovus
- CCMPR01968874 nrtdGetNetRoutingLayers at huge timing QoR difference between full flow and split flow
- CCMPR01966289 CNOD Optimization in placement
- CCMPR01965080 report_ccopt_cell_halo_violations should output violation report for Violation Browser
- CCMPR01962428 Virtuoso registry file out-of-sync with other Cadence tools
- CCMPR01955769 reportShield changes shield ratio values without actual change to shielding
- CCMPR01948207 Partitioning is wrongly removing rows at the top-level/inside partitions
- CCMPR01943689 Innovus adding shield nets between PG and clock routes
- CCMPR01932175 CCOpt run time degradation due to timing graph updates
- CCMPR01928397 addRoutingHalo fail for PAD
- CCMPR01925270 18.1 place_opt_design resulting in 80% more runtime compared to 17.14
- CCMPR01922769 Current being incorrectly distributed between primary ground pin and bulk ground pin
- CCMPR01889342 verifyPowerVia command is flagging missing vias where cell blockage located
- CCMPR01867794 Placement grid violations are seen in case of nested partitions of mix track libs
- CCMPR01859285 Stacktrace during writing timing model
- CCMPR01835601 verify_drc detects false NSMetal violations on the RDL
- CCMPR01758160 dbiSnapCoordToTrackCmd ptnSnapCoordToTrack API is not snapping to next Mask1 rather than nearest track
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement