Advertisement
Guest User

Untitled

a guest
Jun 23rd, 2017
60
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.39 KB | None | 0 0
  1. module BCDcounter3(clk,en1,en2,eg,cl,Q,rcy);
  2. input clk;
  3. input en1;
  4. input en2;
  5. input eg;
  6. input cl;
  7. output [3:0] Q;
  8. output rcy;
  9.  
  10. reg [3:0] Q;
  11.  
  12. assign ccy = (Q == 9);
  13. assign rcy = ccy;
  14.  
  15. always @(posedge clk)
  16. begin
  17. if (cl)
  18. Q <= 0;
  19. else
  20. if ((en1 & en2) | eg)
  21. begin
  22. if (!ccy) Q <= Q + 1;
  23. else Q <= 0;
  24. end
  25. else Q <= Q;
  26. end
  27. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement