Advertisement
Guest User

Untitled

a guest
Apr 26th, 2017
97
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module cau1_1_tb();
  2. reg clk,en,clear;
  3. wire[3:0] q;
  4. cau1_1 inst
  5.     (
  6.         .q   (q),
  7.         .en  (en),
  8.         .clk (clk),
  9.         .clr (clear)
  10.     );
  11.  
  12. always begin
  13.  #10000 clk = ~clk;
  14. end
  15. initial begin
  16.   $display("\ttime\tclk\ten\tclear\tq");
  17.   $monitor("%d\t%d\t%d\t%d\t%d", $time,clk,en,clear,q);
  18.  en = 0;
  19.  clk = 1;
  20.  clear = 0;
  21.  #10000 clear = 1;
  22.  #20000 en = 1;
  23.  #100000 clear = 0;
  24.  #200000 clear = 1;
  25. end
  26. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement