Advertisement
Guest User

Untitled

a guest
Nov 18th, 2019
105
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.95 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 09:42:28 10/28/2019
  7. // Design Name:
  8. // Module Name: Project2Verilog
  9. // Project Name:
  10. // Target Devices:
  11. // Tool versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////
  21. module Project2Verilog(
  22. input GCLK20,
  23.  
  24. output DA,
  25. output DB,
  26. output DC,
  27. output DD,
  28. output DE,
  29. output DF,
  30. output DG,
  31.  
  32. output S1,
  33. output S2,
  34. output S3,
  35. output S4
  36. );
  37.  
  38.  
  39. reg [25:0] counter1;
  40. reg[14:0] counter2;
  41. reg[15:0] number;
  42. reg[3:0] menu;
  43. reg[6:0] set;
  44. reg[3:0] buff;
  45. always@(posedge GCLK20)
  46. begin
  47. counter2<=counter2+14'b1;
  48. counter1<=counter1+25'b1;
  49. if(counter1==25'b0)
  50. number=number+16'b1;
  51. end
  52.  
  53.  
  54. always@*
  55. begin
  56. case(buff [3:0])
  57. 0000: set[6:0]=7'b00000001;
  58. 0001: set[6:0]=7'b1001111;
  59. 0010: set[6:0]=7'b0010010;
  60. 0011: set[6:0]=7'b0000110;
  61. 0100: set[6:0]=7'b1001100;
  62. 0101: set[6:0]=7'b0100100;
  63. 0111: set[6:0]=7'b0100000;
  64. 1000: set[6:0]=7'b0001111;
  65. 1001: set[6:0]=7'b0000000;
  66. 1010: set[6:0]=7'b0000100;
  67. 1011: set[6:0]=7'b1100000;
  68. 1100: set[6:0]=7'b0110001;
  69. 1101: set[6:0]=7'b1000010;
  70. 1110: set[6:0]=7'b0110000;
  71. 1111: set[6:0]=7'b0111000;
  72. endcase
  73. end
  74.  
  75.  
  76.  
  77. always@*
  78. begin
  79. case( counter2[14:13] )
  80. 2'b00:
  81. begin
  82. menu=4'b1110;
  83. buff<=number[15:12];
  84. end
  85. 2'b01:
  86. begin
  87. menu=4'b1101;
  88. buff<=number[11:8];
  89. end
  90. 2'b10:
  91. begin
  92. menu=4'b1011;
  93. buff<=number[7:3];
  94. end
  95. 2'b11:
  96. begin
  97. menu=4'b0111;
  98. buff<=number[3:0];
  99. end
  100. endcase
  101. end
  102.  
  103. assign S1=menu[3];
  104. assign S2=menu[2];
  105. assign S3=menu[1];
  106. assign S4=menu[0];
  107.  
  108. assign DA=set[6];
  109. assign DB=set[5];
  110. assign DC=set[4];
  111. assign DD=set[3];
  112. assign DE=set[2];
  113. assign DF=set[1];
  114. assign DG=set[0];
  115.  
  116. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement