Advertisement
Guest User

Untitled

a guest
Feb 20th, 2018
56
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 5.90 KB | None | 0 0
  1. ###------------------------------------------------------------------------------
  2. ### (c) Copyright 2013 Xilinx, Inc. All rights reserved.
  3. ###
  4. ### This file contains confidential and proprietary information
  5. ### of Xilinx, Inc. and is protected under U.S. and
  6. ### international copyright and other intellectual property
  7. ### laws.
  8. ###
  9. ### DISCLAIMER
  10. ### This disclaimer is not a license and does not grant any
  11. ### rights to the materials distributed herewith. Except as
  12. ### otherwise provided in a valid license issued to you by
  13. ### Xilinx, and to the maximum extent permitted by applicable
  14. ### law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
  15. ### WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
  16. ### AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
  17. ### BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
  18. ### INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
  19. ### (2) Xilinx shall not be liable (whether in contract or tort,
  20. ### including negligence, or under any other theory of
  21. ### liability) for any loss or damage of any kind or nature
  22. ### related to, arising under or in connection with these
  23. ### materials, including for any direct, or any indirect,
  24. ### special, incidental, or consequential loss or damage
  25. ### (including loss of data, profits, goodwill, or any type of
  26. ### loss or damage suffered as a result of any action brought
  27. ### by a third party) even if such damage or loss was
  28. ### reasonably foreseeable or Xilinx had been advised of the
  29. ### possibility of the same.
  30. ###
  31. ### CRITICAL APPLICATIONS
  32. ### Xilinx products are not designed or intended to be fail-
  33. ### safe, or for use in any application requiring fail-safe
  34. ### performance, such as life-support or safety devices or
  35. ### systems, Class III medical devices, nuclear facilities,
  36. ### applications related to the deployment of airbags, or any
  37. ### other applications that could lead to death, personal
  38. ### injury, or severe property or environmental damage
  39. ### (individually and collectively, "Critical
  40. ### Applications"). Customer assumes the sole risk and
  41. ### liability of any use of Xilinx products in Critical
  42. ### Applications, subject only to applicable laws and
  43. ### regulations governing limitations on product liability.
  44. ###
  45. ### THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
  46. ### PART OF THIS FILE AT ALL TIMES.
  47. ###------------------------------------------------------------------------------
  48.  
  49. ### -----------------------------------------------------------------------------
  50. ### CMAC example design-level XDC file
  51. ### -----------------------------------------------------------------------------
  52.  
  53. create_clock -period 10.000 [get_ports init_clk_p]
  54.  
  55. create_clock -period 6.400 [get_ports gt_ref_clk_p]
  56. #set_property IOSTANDARD DIFF_SSTL15 [get_ports gt_ref_clk_p]
  57.  
  58. ### Transceiver Reference Clock Placement
  59. ### Transceivers should be adjacent to allow timing constraints to be met easily.
  60. ### Full details of available transceiver locations can be found in the appropriate
  61. ### Transceiver User Guide, or use the Transceiver Wizard.
  62.  
  63. ### These are sample constraints, please use correct constraints for your device
  64. ### As per GT recommendation, gt_ref_clk should be connected to the middle quad
  65.  
  66. ### Incase of VCU108-REV-1.0 Evaluation board with xcvu095-ffva2104-2-e device,
  67. ### if user selects CAUI10 GTY default gui configuration with CMAC core as CMAC_SITE_X0Y0
  68. ### and GT group X0Y4~X0Y13, the gt_ref_clk pin location is given below
  69. ### For other configuration / CMAC and GT locations, update the gt_ref_clk pin location accordingly
  70. ### and un-comment the below line
  71. #set_property PACKAGE_PIN AK38 [get_ports gt_ref_clk_p]
  72.  
  73.  
  74.  
  75. ### Change these IO constraints as per your board and device
  76. ### For better placement, please LOC the IO's in the same GT SLR region
  77.  
  78. ### Below IO Loc XDC constraints are for VCU108-REV-1.0 Evaluation board
  79. ### with xcvu095-ffva2104-2-e-es2 device
  80.  
  81. ### For init_clk input pin assignment, if single-ended clock is not available
  82. ### on the board, user has to instantiate IBUFDS in Example Design to convert
  83. ### the differential clock to single-ended clock and make the necessary changes
  84. #set_property LOC AV33 [get_ports init_clk]
  85. #set_property LOC E34 [get_ports sys_reset]
  86. #set_property LOC C38 [get_ports send_continuous_pkts]
  87. #set_property LOC AW27 [get_ports lbus_tx_rx_restart_in]
  88. #set_property LOC BC40 [get_ports simplex_mode_rx_aligned]
  89. #set_property LOC AT32 [get_ports tx_done_led]
  90. #set_property LOC AY30 [get_ports tx_busy_led]
  91. #set_property LOC BB32 [get_ports tx_gt_locked_led]
  92.  
  93. set_property IOSTANDARD LVCMOS18 [get_ports sys_reset]
  94. set_property IOSTANDARD LVCMOS18 [get_ports send_continuous_pkts]
  95. set_property IOSTANDARD LVCMOS18 [get_ports lbus_tx_rx_restart_in]
  96. set_property IOSTANDARD LVCMOS18 [get_ports simplex_mode_rx_aligned]
  97. set_property IOSTANDARD LVCMOS18 [get_ports tx_done_led]
  98. set_property IOSTANDARD LVCMOS18 [get_ports tx_busy_led]
  99. set_property IOSTANDARD LVCMOS18 [get_ports tx_gt_locked_led]
  100.  
  101. ### Any other constraints can be added here
  102.  
  103. #set_property PACKAGE_PIN AV33 [get_ports init_clk]
  104. set_property PACKAGE_PIN BD23 [get_ports sys_reset]
  105. set_property PACKAGE_PIN BF22 [get_ports send_continuous_pkts]
  106. set_property PACKAGE_PIN BE22 [get_ports simplex_mode_rx_aligned]
  107. set_property PACKAGE_PIN BB24 [get_ports lbus_tx_rx_restart_in]
  108. #set_property PACKAGE_PIN U9 [get_ports gt_ref_clk_p]
  109.  
  110. set_property PACKAGE_PIN AV34 [get_ports tx_busy_led]
  111. set_property PACKAGE_PIN AY30 [get_ports tx_done_led]
  112. set_property PACKAGE_PIN BB32 [get_ports tx_gt_locked_led]
  113.  
  114. set_property PACKAGE_PIN AY23 [get_ports init_clk_n]
  115. set_property PACKAGE_PIN AY24 [get_ports init_clk_p]
  116. #set_property PACKAGE_PIN W9 [get_ports gt_ref_clk_p]
  117.  
  118. set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports init_clk_n]
  119. set_property IOSTANDARD DIFF_HSTL_I_18 [get_ports init_clk_p]
  120.  
  121. set_property PACKAGE_PIN AB38 [get_ports gt_ref_clk_p]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement