Advertisement
Guest User

Untitled

a guest
Apr 26th, 2017
84
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module cau1_2_tb();
  2. reg clk,en,clear;
  3. wire[3:0] q;
  4. cau1_2 ins(
  5. .en   (en),
  6. .clk  (clk),
  7. .clear(clear),
  8. .q    (q)
  9. );
  10.  
  11. always begin
  12.  #10000 clk = ~clk;
  13. end
  14. initial begin
  15.   $display("\ttime\tclk\ten\tclear\tq");
  16.   $monitor("%d\t%d\t%d\t%d\t%d", $time,clk,en,clear,q);
  17.   en = 0;
  18.   clk = 1;
  19.   clear = 0;
  20.   #10000 clear = 1;
  21.   #20000 en = 1;
  22. end
  23. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement