Advertisement
Guest User

Untitled

a guest
Sep 16th, 2019
117
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.70 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.ALL;
  3. ENTITY rstr IS
  4. PORT( s : IN std_logic;
  5. r : IN std_logic;
  6. q : INOUT std_logic;
  7. 155
  8. qb : INOUT std_logic );
  9. END rstr;
  10. ARCHITECTURE behav OF rstr IS
  11. COMPONENT notand -- описание используемого компонента
  12. PORT( a : IN std_logic;
  13. b : IN std_logic;
  14. c : INOUT std_logic);
  15. END COMPONENT;
  16. BEGIN
  17. u1: notand -- указание u1, как компонента notand
  18. PORT MAP ( s, qb, q); -- указание входов и выхода для u1
  19. u2: notand
  20. PORT MAP (q, r, qb);
  21. END behav;
  22. CONFIGURATION con OF rstr IS
  23. FOR behav
  24. FOR u1, u2: notand
  25. USE ENTITY work.notand (behavior); -- определяет интерфейс
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement