Advertisement
ngohara

Lab 8 Error

Jan 19th, 2016
1,479
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 308.40 KB | None | 0 0
  1. Info: *******************************************************************
  2. Info: Running Quartus Prime Analysis & Synthesis
  3. Info: Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
  4. Info: Processing started: Tue Jan 19 16:32:18 2016
  5. Info: Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
  6. Info: Processing started: Tue Jan 19 16:32:18 2016
  7. Info: Command: quartus_map --read_settings_files=on --write_settings_files=off mipi_to_hdmi_terasic -c mipi_to_hdmi_terasic
  8. Info: Using INI file C:/Users/Elliott Tech/Desktop/Altera/workspace/8_MIPI_to_HDMI_Terasic/quartus.ini
  9. Info (16303): Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time
  10. Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
  11. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/mipi_vip.v
  12. Info (12023): Found entity 1: mipi_vip
  13. Info (12023): Found entity 1: mipi_vip
  14. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_reset_controller.v
  15. Info (12023): Found entity 1: altera_reset_controller
  16. Info (12023): Found entity 1: altera_reset_controller
  17. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_reset_synchronizer.v
  18. Info (12023): Found entity 1: altera_reset_synchronizer
  19. Info (12023): Found entity 1: altera_reset_synchronizer
  20. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_avalon_st_adapter.v
  21. Info (12023): Found entity 1: mipi_vip_avalon_st_adapter
  22. Info (12023): Found entity 1: mipi_vip_avalon_st_adapter
  23. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_avalon_st_adapter_timing_adapter_0.sv
  24. Info (12023): Found entity 1: mipi_vip_avalon_st_adapter_timing_adapter_0
  25. Info (12023): Found entity 1: mipi_vip_avalon_st_adapter_timing_adapter_0
  26. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_irq_clock_crosser.sv
  27. Info (12023): Found entity 1: altera_irq_clock_crosser
  28. Info (12023): Found entity 1: altera_irq_clock_crosser
  29. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_irq_mapper.sv
  30. Info (12023): Found entity 1: mipi_vip_irq_mapper
  31. Info (12023): Found entity 1: mipi_vip_irq_mapper
  32. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1.v
  33. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1
  34. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1
  35. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_avalon_st_adapter.v
  36. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter
  37. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter
  38. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0.sv
  39. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0
  40. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0
  41. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_handshake_clock_crosser.v
  42. Info (12023): Found entity 1: altera_avalon_st_handshake_clock_crosser
  43. Info (12023): Found entity 1: altera_avalon_st_handshake_clock_crosser
  44. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_clock_crosser.v
  45. Info (12023): Found entity 1: altera_avalon_st_clock_crosser
  46. Info (12023): Found entity 1: altera_avalon_st_clock_crosser
  47. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_pipeline_base.v
  48. Info (12023): Found entity 1: altera_avalon_st_pipeline_base
  49. Info (12023): Found entity 1: altera_avalon_st_pipeline_base
  50. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_std_synchronizer_nocut.v
  51. Info (12023): Found entity 1: altera_std_synchronizer_nocut
  52. Info (12023): Found entity 1: altera_std_synchronizer_nocut
  53. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_width_adapter.sv
  54. Info (12023): Found entity 1: altera_merlin_width_adapter
  55. Info (12023): Found entity 1: altera_merlin_width_adapter
  56. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_address_alignment.sv
  57. Info (12023): Found entity 1: altera_merlin_address_alignment
  58. Info (12023): Found entity 1: altera_merlin_address_alignment
  59. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_uncompressor.sv
  60. Info (12023): Found entity 1: altera_merlin_burst_uncompressor
  61. Info (12023): Found entity 1: altera_merlin_burst_uncompressor
  62. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_arbitrator.sv
  63. Info (12023): Found entity 1: altera_merlin_arbitrator
  64. Info (12023): Found entity 2: altera_merlin_arb_adder
  65. Info (12023): Found entity 1: altera_merlin_arbitrator
  66. Info (12023): Found entity 2: altera_merlin_arb_adder
  67. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_rsp_mux.sv
  68. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_mux
  69. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_mux
  70. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_rsp_demux.sv
  71. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_demux
  72. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_demux
  73. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_cmd_mux.sv
  74. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_mux
  75. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_mux
  76. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_cmd_demux.sv
  77. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_demux
  78. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_demux
  79. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter.sv
  80. Info (12023): Found entity 1: altera_merlin_burst_adapter
  81. Info (12023): Found entity 1: altera_merlin_burst_adapter
  82. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter_uncmpr.sv
  83. Info (12023): Found entity 1: altera_merlin_burst_adapter_uncompressed_only
  84. Info (12023): Found entity 1: altera_merlin_burst_adapter_uncompressed_only
  85. Info (12021): Found 5 design units, including 5 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter_13_1.sv
  86. Info (12023): Found entity 1: altera_merlin_burst_adapter_burstwrap_increment
  87. Info (12023): Found entity 2: altera_merlin_burst_adapter_adder
  88. Info (12023): Found entity 3: altera_merlin_burst_adapter_subtractor
  89. Info (12023): Found entity 4: altera_merlin_burst_adapter_min
  90. Info (12023): Found entity 5: altera_merlin_burst_adapter_13_1
  91. Info (12023): Found entity 1: altera_merlin_burst_adapter_burstwrap_increment
  92. Info (12023): Found entity 2: altera_merlin_burst_adapter_adder
  93. Info (12023): Found entity 3: altera_merlin_burst_adapter_subtractor
  94. Info (12023): Found entity 4: altera_merlin_burst_adapter_min
  95. Info (12023): Found entity 5: altera_merlin_burst_adapter_13_1
  96. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter_new.sv
  97. Info (12023): Found entity 1: altera_merlin_burst_adapter_new
  98. Info (12023): Found entity 1: altera_merlin_burst_adapter_new
  99. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_incr_burst_converter.sv
  100. Info (12023): Found entity 1: altera_incr_burst_converter
  101. Info (12023): Found entity 1: altera_incr_burst_converter
  102. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_wrap_burst_converter.sv
  103. Info (12023): Found entity 1: altera_wrap_burst_converter
  104. Info (12023): Found entity 1: altera_wrap_burst_converter
  105. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_default_burst_converter.sv
  106. Info (12023): Found entity 1: altera_default_burst_converter
  107. Info (12023): Found entity 1: altera_default_burst_converter
  108. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_pipeline_stage.sv
  109. Info (12023): Found entity 1: altera_avalon_st_pipeline_stage
  110. Info (12023): Found entity 1: altera_avalon_st_pipeline_stage
  111. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_router_002.sv
  112. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_002_default_decode
  113. Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router_002
  114. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_002_default_decode
  115. Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router_002
  116. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_router.sv
  117. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_default_decode
  118. Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router
  119. Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_default_decode
  120. Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router
  121. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_sc_fifo.v
  122. Info (12023): Found entity 1: altera_avalon_sc_fifo
  123. Info (12023): Found entity 1: altera_avalon_sc_fifo
  124. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_slave_agent.sv
  125. Info (12023): Found entity 1: altera_merlin_slave_agent
  126. Info (12023): Found entity 1: altera_merlin_slave_agent
  127. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_master_agent.sv
  128. Info (12023): Found entity 1: altera_merlin_master_agent
  129. Info (12023): Found entity 1: altera_merlin_master_agent
  130. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_slave_translator.sv
  131. Info (12023): Found entity 1: altera_merlin_slave_translator
  132. Info (12023): Found entity 1: altera_merlin_slave_translator
  133. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_master_translator.sv
  134. Info (12023): Found entity 1: altera_merlin_master_translator
  135. Info (12023): Found entity 1: altera_merlin_master_translator
  136. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0.v
  137. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0
  138. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0
  139. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_avalon_st_adapter.v
  140. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter
  141. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter
  142. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv
  143. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0
  144. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0
  145. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_mux_001.sv
  146. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux_001
  147. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux_001
  148. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_mux.sv
  149. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux
  150. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux
  151. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_demux_005.sv
  152. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_005
  153. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_005
  154. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_demux_001.sv
  155. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_001
  156. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_001
  157. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_demux.sv
  158. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux
  159. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux
  160. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_mux_005.sv
  161. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux_005
  162. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux_005
  163. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_mux.sv
  164. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux
  165. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux
  166. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_demux_001.sv
  167. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux_001
  168. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux_001
  169. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_demux.sv
  170. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux
  171. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux
  172. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_traffic_limiter.sv
  173. Info (12023): Found entity 1: altera_merlin_traffic_limiter
  174. Info (12023): Found entity 1: altera_merlin_traffic_limiter
  175. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_reorder_memory.sv
  176. Info (12023): Found entity 1: altera_merlin_reorder_memory
  177. Info (12023): Found entity 2: memory_pointer_controller
  178. Info (12023): Found entity 1: altera_merlin_reorder_memory
  179. Info (12023): Found entity 2: memory_pointer_controller
  180. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router_007.sv
  181. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_007_default_decode
  182. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_007
  183. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_007_default_decode
  184. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_007
  185. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router_002.sv
  186. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_002_default_decode
  187. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_002
  188. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_002_default_decode
  189. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_002
  190. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router_001.sv
  191. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_001_default_decode
  192. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_001
  193. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_001_default_decode
  194. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_001
  195. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router.sv
  196. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_default_decode
  197. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router
  198. Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_default_decode
  199. Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router
  200. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_vip_mixer.v
  201. Info (12023): Found entity 1: mipi_vip_vip_mixer
  202. Info (12023): Found entity 1: mipi_vip_vip_mixer
  203. Info (12021): Found 1 design units, including 0 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_pkg.sv
  204. Info (12022): Found design unit 1: alt_vip_common_pkg (SystemVerilog) (mipi_vip)
  205. Info (12022): Found design unit 1: alt_vip_common_pkg (SystemVerilog) (mipi_vip)
  206. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_event_packet_decode.sv
  207. Info (12023): Found entity 1: alt_vip_common_event_packet_decode
  208. Info (12023): Found entity 1: alt_vip_common_event_packet_decode
  209. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_event_packet_encode.sv
  210. Info (12023): Found entity 1: alt_vip_common_event_packet_encode
  211. Info (12023): Found entity 1: alt_vip_common_event_packet_encode
  212. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_control_slave.sv
  213. Info (12023): Found entity 1: alt_vip_control_slave
  214. Info (12023): Found entity 1: alt_vip_control_slave
  215. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_mix_scheduler.sv
  216. Info (12023): Found entity 1: alt_vip_mix_scheduler
  217. Info (12023): Found entity 1: alt_vip_mix_scheduler
  218. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_latency_0_to_latency_1.sv
  219. Info (12023): Found entity 1: alt_vip_common_latency_0_to_latency_1
  220. Info (12023): Found entity 1: alt_vip_common_latency_0_to_latency_1
  221. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_video_packet_empty.sv
  222. Info (12023): Found entity 1: alt_vip_common_video_packet_empty
  223. Info (12023): Found entity 1: alt_vip_common_video_packet_empty
  224. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_video_packet_encode.sv
  225. Info (12023): Found entity 1: alt_vip_common_video_packet_encode
  226. Info (12023): Found entity 1: alt_vip_common_video_packet_encode
  227. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_video_output_bridge.sv
  228. Info (12023): Found entity 1: alt_vip_video_output_bridge
  229. Info (12023): Found entity 1: alt_vip_video_output_bridge
  230. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_mix_alg_core.sv
  231. Info (12023): Found entity 1: alt_vip_mix_alg_core
  232. Info (12023): Found entity 1: alt_vip_mix_alg_core
  233. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_tpg_core.sv
  234. Info (12023): Found entity 1: alt_vip_tpg_core
  235. Info (12023): Found entity 1: alt_vip_tpg_core
  236. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_latency_1_to_latency_0.sv
  237. Info (12023): Found entity 1: alt_vip_common_latency_1_to_latency_0
  238. Info (12023): Found entity 1: alt_vip_common_latency_1_to_latency_0
  239. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_video_packet_decode.sv
  240. Info (12023): Found entity 1: alt_vip_common_video_packet_decode
  241. Info (12023): Found entity 1: alt_vip_common_video_packet_decode
  242. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_video_input_bridge.sv
  243. Info (12023): Found entity 1: alt_vip_video_input_bridge
  244. Info (12023): Found entity 1: alt_vip_video_input_bridge
  245. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_tpg.v
  246. Info (12023): Found entity 1: mipi_vip_tpg
  247. Info (12023): Found entity 1: mipi_vip_tpg
  248. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_tpg_scheduler.sv
  249. Info (12023): Found entity 1: alt_vip_tpg_scheduler
  250. Info (12023): Found entity 1: alt_vip_tpg_scheduler
  251. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_timer.v
  252. Info (12023): Found entity 1: mipi_vip_timer
  253. Info (12023): Found entity 1: mipi_vip_timer
  254. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/bayer_linebuffer.v
  255. Info (12023): Found entity 1: Bayer_LineBuffer
  256. Info (12023): Found entity 1: Bayer_LineBuffer
  257. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/terasic_bayer2rgb.v
  258. Info (12023): Found entity 1: Bayer2RGB
  259. Info (12023): Found entity 2: TERASIC_Bayer2RGB
  260. Info (12023): Found entity 1: Bayer2RGB
  261. Info (12023): Found entity 2: TERASIC_Bayer2RGB
  262. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/add2.v
  263. Info (12023): Found entity 1: add2
  264. Info (12023): Found entity 1: add2
  265. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/add4.v
  266. Info (12023): Found entity 1: add4
  267. Info (12023): Found entity 1: add4
  268. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rgb_fifo.v
  269. Info (12023): Found entity 1: rgb_fifo
  270. Info (12023): Found entity 1: rgb_fifo
  271. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_sysid_qsys.v
  272. Info (12023): Found entity 1: mipi_vip_sysid_qsys
  273. Info (12023): Found entity 1: mipi_vip_sysid_qsys
  274. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_sw.v
  275. Info (12023): Found entity 1: mipi_vip_sw
  276. Info (12023): Found entity 1: mipi_vip_sw
  277. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_onchip_memory2.v
  278. Info (12023): Found entity 1: mipi_vip_onchip_memory2
  279. Info (12023): Found entity 1: mipi_vip_onchip_memory2
  280. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2.v
  281. Info (12023): Found entity 1: mipi_vip_nios2_gen2
  282. Info (12023): Found entity 1: mipi_vip_nios2_gen2
  283. Info (12021): Found 27 design units, including 27 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu.v
  284. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_ic_data_module
  285. Info (12023): Found entity 2: mipi_vip_nios2_gen2_cpu_ic_tag_module
  286. Info (12023): Found entity 3: mipi_vip_nios2_gen2_cpu_bht_module
  287. Info (12023): Found entity 4: mipi_vip_nios2_gen2_cpu_register_bank_a_module
  288. Info (12023): Found entity 5: mipi_vip_nios2_gen2_cpu_register_bank_b_module
  289. Info (12023): Found entity 6: mipi_vip_nios2_gen2_cpu_dc_tag_module
  290. Info (12023): Found entity 7: mipi_vip_nios2_gen2_cpu_dc_data_module
  291. Info (12023): Found entity 8: mipi_vip_nios2_gen2_cpu_dc_victim_module
  292. Info (12023): Found entity 9: mipi_vip_nios2_gen2_cpu_nios2_oci_debug
  293. Info (12023): Found entity 10: mipi_vip_nios2_gen2_cpu_nios2_oci_break
  294. Info (12023): Found entity 11: mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk
  295. Info (12023): Found entity 12: mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk
  296. Info (12023): Found entity 13: mipi_vip_nios2_gen2_cpu_nios2_oci_itrace
  297. Info (12023): Found entity 14: mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode
  298. Info (12023): Found entity 15: mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace
  299. Info (12023): Found entity 16: mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt
  300. Info (12023): Found entity 17: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc
  301. Info (12023): Found entity 18: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc
  302. Info (12023): Found entity 19: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo
  303. Info (12023): Found entity 20: mipi_vip_nios2_gen2_cpu_nios2_oci_pib
  304. Info (12023): Found entity 21: mipi_vip_nios2_gen2_cpu_nios2_oci_im
  305. Info (12023): Found entity 22: mipi_vip_nios2_gen2_cpu_nios2_performance_monitors
  306. Info (12023): Found entity 23: mipi_vip_nios2_gen2_cpu_nios2_avalon_reg
  307. Info (12023): Found entity 24: mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module
  308. Info (12023): Found entity 25: mipi_vip_nios2_gen2_cpu_nios2_ocimem
  309. Info (12023): Found entity 26: mipi_vip_nios2_gen2_cpu_nios2_oci
  310. Info (12023): Found entity 27: mipi_vip_nios2_gen2_cpu
  311. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_ic_data_module
  312. Info (12023): Found entity 2: mipi_vip_nios2_gen2_cpu_ic_tag_module
  313. Info (12023): Found entity 3: mipi_vip_nios2_gen2_cpu_bht_module
  314. Info (12023): Found entity 4: mipi_vip_nios2_gen2_cpu_register_bank_a_module
  315. Info (12023): Found entity 5: mipi_vip_nios2_gen2_cpu_register_bank_b_module
  316. Info (12023): Found entity 6: mipi_vip_nios2_gen2_cpu_dc_tag_module
  317. Info (12023): Found entity 7: mipi_vip_nios2_gen2_cpu_dc_data_module
  318. Info (12023): Found entity 8: mipi_vip_nios2_gen2_cpu_dc_victim_module
  319. Info (12023): Found entity 9: mipi_vip_nios2_gen2_cpu_nios2_oci_debug
  320. Info (12023): Found entity 10: mipi_vip_nios2_gen2_cpu_nios2_oci_break
  321. Info (12023): Found entity 11: mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk
  322. Info (12023): Found entity 12: mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk
  323. Info (12023): Found entity 13: mipi_vip_nios2_gen2_cpu_nios2_oci_itrace
  324. Info (12023): Found entity 14: mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode
  325. Info (12023): Found entity 15: mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace
  326. Info (12023): Found entity 16: mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt
  327. Info (12023): Found entity 17: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc
  328. Info (12023): Found entity 18: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc
  329. Info (12023): Found entity 19: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo
  330. Info (12023): Found entity 20: mipi_vip_nios2_gen2_cpu_nios2_oci_pib
  331. Info (12023): Found entity 21: mipi_vip_nios2_gen2_cpu_nios2_oci_im
  332. Info (12023): Found entity 22: mipi_vip_nios2_gen2_cpu_nios2_performance_monitors
  333. Info (12023): Found entity 23: mipi_vip_nios2_gen2_cpu_nios2_avalon_reg
  334. Info (12023): Found entity 24: mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module
  335. Info (12023): Found entity 25: mipi_vip_nios2_gen2_cpu_nios2_ocimem
  336. Info (12023): Found entity 26: mipi_vip_nios2_gen2_cpu_nios2_oci
  337. Info (12023): Found entity 27: mipi_vip_nios2_gen2_cpu
  338. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_debug_slave_sysclk.v
  339. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_sysclk
  340. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_sysclk
  341. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_debug_slave_tck.v
  342. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_tck
  343. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_tck
  344. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_debug_slave_wrapper.v
  345. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_wrapper
  346. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_wrapper
  347. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_mult_cell.v
  348. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_mult_cell
  349. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_mult_cell
  350. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_test_bench.v
  351. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_test_bench
  352. Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_test_bench
  353. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mipi_core_en.v
  354. Info (12023): Found entity 1: mipi_vip_mipi_core_en
  355. Info (12023): Found entity 1: mipi_vip_mipi_core_en
  356. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif.v
  357. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif
  358. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif
  359. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_c0.v
  360. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_c0
  361. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_c0
  362. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_mm_st_converter.v
  363. Info (12023): Found entity 1: alt_mem_ddrx_mm_st_converter
  364. Info (12023): Found entity 1: alt_mem_ddrx_mm_st_converter
  365. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_addr_cmd.v
  366. Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd
  367. Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd
  368. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_addr_cmd_wrap.v
  369. Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd_wrap
  370. Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd_wrap
  371. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ddr2_odt_gen.v
  372. Info (12023): Found entity 1: alt_mem_ddrx_ddr2_odt_gen
  373. Info (12023): Found entity 1: alt_mem_ddrx_ddr2_odt_gen
  374. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ddr3_odt_gen.v
  375. Info (12023): Found entity 1: alt_mem_ddrx_ddr3_odt_gen
  376. Info (12023): Found entity 1: alt_mem_ddrx_ddr3_odt_gen
  377. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_lpddr2_addr_cmd.v
  378. Info (12023): Found entity 1: alt_mem_ddrx_lpddr2_addr_cmd
  379. Info (12023): Found entity 1: alt_mem_ddrx_lpddr2_addr_cmd
  380. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_odt_gen.v
  381. Info (12023): Found entity 1: alt_mem_ddrx_odt_gen
  382. Info (12023): Found entity 1: alt_mem_ddrx_odt_gen
  383. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_rdwr_data_tmg.v
  384. Info (12023): Found entity 1: alt_mem_ddrx_rdwr_data_tmg
  385. Info (12023): Found entity 1: alt_mem_ddrx_rdwr_data_tmg
  386. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_arbiter.v
  387. Info (12023): Found entity 1: alt_mem_ddrx_arbiter
  388. Info (12023): Found entity 1: alt_mem_ddrx_arbiter
  389. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_burst_gen.v
  390. Info (12023): Found entity 1: alt_mem_ddrx_burst_gen
  391. Info (12023): Found entity 1: alt_mem_ddrx_burst_gen
  392. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_cmd_gen.v
  393. Info (12023): Found entity 1: alt_mem_ddrx_cmd_gen
  394. Info (12023): Found entity 1: alt_mem_ddrx_cmd_gen
  395. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_csr.v
  396. Info (12023): Found entity 1: alt_mem_ddrx_csr
  397. Info (12023): Found entity 1: alt_mem_ddrx_csr
  398. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_buffer.v
  399. Info (12023): Found entity 1: alt_mem_ddrx_buffer
  400. Info (12023): Found entity 1: alt_mem_ddrx_buffer
  401. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_buffer_manager.v
  402. Info (12023): Found entity 1: alt_mem_ddrx_buffer_manager
  403. Info (12023): Found entity 1: alt_mem_ddrx_buffer_manager
  404. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_burst_tracking.v
  405. Info (12023): Found entity 1: alt_mem_ddrx_burst_tracking
  406. Info (12023): Found entity 1: alt_mem_ddrx_burst_tracking
  407. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_dataid_manager.v
  408. Info (12023): Found entity 1: alt_mem_ddrx_dataid_manager
  409. Info (12023): Found entity 1: alt_mem_ddrx_dataid_manager
  410. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_fifo.v
  411. Info (12023): Found entity 1: alt_mem_ddrx_fifo
  412. Info (12023): Found entity 1: alt_mem_ddrx_fifo
  413. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_list.v
  414. Info (12023): Found entity 1: alt_mem_ddrx_list
  415. Info (12023): Found entity 1: alt_mem_ddrx_list
  416. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_rdata_path.v
  417. Info (12023): Found entity 1: alt_mem_ddrx_rdata_path
  418. Info (12023): Found entity 1: alt_mem_ddrx_rdata_path
  419. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_wdata_path.v
  420. Info (12023): Found entity 1: alt_mem_ddrx_wdata_path
  421. Info (12023): Found entity 1: alt_mem_ddrx_wdata_path
  422. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_decoder.v
  423. Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder
  424. Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder
  425. Info (12021): Found 3 design units, including 3 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_decoder_32_syn.v
  426. Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_32_decode
  427. Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_32_altecc_decoder
  428. Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_32
  429. Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_32_decode
  430. Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_32_altecc_decoder
  431. Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_32
  432. Info (12021): Found 3 design units, including 3 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_decoder_64_syn.v
  433. Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_64_decode
  434. Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_64_altecc_decoder
  435. Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_64
  436. Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_64_decode
  437. Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_64_altecc_decoder
  438. Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_64
  439. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder.v
  440. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder
  441. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder
  442. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder_32_syn.v
  443. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_32_altecc_encoder
  444. Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_32
  445. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_32_altecc_encoder
  446. Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_32
  447. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder_64_syn.v
  448. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_64_altecc_encoder
  449. Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_64
  450. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_64_altecc_encoder
  451. Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_64
  452. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v
  453. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_decoder_wrapper
  454. Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_decoder_wrapper
  455. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_axi_st_converter.v
  456. Info (12023): Found entity 1: alt_mem_ddrx_axi_st_converter
  457. Info (12023): Found entity 1: alt_mem_ddrx_axi_st_converter
  458. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_input_if.v
  459. Info (12023): Found entity 1: alt_mem_ddrx_input_if
  460. Info (12023): Found entity 1: alt_mem_ddrx_input_if
  461. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_rank_timer.v
  462. Info (12023): Found entity 1: alt_mem_ddrx_rank_timer
  463. Info (12023): Found entity 1: alt_mem_ddrx_rank_timer
  464. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_sideband.v
  465. Info (12023): Found entity 1: alt_mem_ddrx_sideband
  466. Info (12023): Found entity 1: alt_mem_ddrx_sideband
  467. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_tbp.v
  468. Info (12023): Found entity 1: alt_mem_ddrx_tbp
  469. Info (12023): Found entity 1: alt_mem_ddrx_tbp
  470. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_timing_param.v
  471. Info (12023): Found entity 1: alt_mem_ddrx_timing_param
  472. Info (12023): Found entity 1: alt_mem_ddrx_timing_param
  473. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_controller.v
  474. Info (12023): Found entity 1: alt_mem_ddrx_controller
  475. Info (12023): Found entity 1: alt_mem_ddrx_controller
  476. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_controller_st_top.v
  477. Info (12023): Found entity 1: alt_mem_ddrx_controller_st_top
  478. Info (12023): Found entity 1: alt_mem_ddrx_controller_st_top
  479. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_if_nextgen_ddr3_controller_core.sv
  480. Info (12023): Found entity 1: alt_mem_if_nextgen_ddr3_controller_core
  481. Info (12023): Found entity 1: alt_mem_if_nextgen_ddr3_controller_core
  482. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0.v
  483. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0
  484. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0
  485. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_mem_if_sequencer_rst.sv
  486. Info (12023): Found entity 1: altera_mem_if_sequencer_rst
  487. Info (12023): Found entity 1: altera_mem_if_sequencer_rst
  488. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0.v
  489. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0
  490. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0
  491. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter.v
  492. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter
  493. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter
  494. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv
  495. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0
  496. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0
  497. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux.sv
  498. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux
  499. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux
  500. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux.sv
  501. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux
  502. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux
  503. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router.sv
  504. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode
  505. Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router
  506. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode
  507. Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router
  508. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001.sv
  509. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode
  510. Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001
  511. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode
  512. Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001
  513. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux.sv
  514. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux
  515. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux
  516. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux.sv
  517. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux
  518. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux
  519. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ac_rom_reg.v
  520. Info (12023): Found entity 1: rw_manager_ac_ROM_reg
  521. Info (12023): Found entity 1: rw_manager_ac_ROM_reg
  522. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_bitcheck.v
  523. Info (12023): Found entity 1: rw_manager_bitcheck
  524. Info (12023): Found entity 1: rw_manager_bitcheck
  525. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_core.sv
  526. Info (12023): Found entity 1: rw_manager_core
  527. Info (12023): Found entity 1: rw_manager_core
  528. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_datamux.v
  529. Info (12023): Found entity 1: rw_manager_datamux
  530. Info (12023): Found entity 1: rw_manager_datamux
  531. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_data_broadcast.v
  532. Info (12023): Found entity 1: rw_manager_data_broadcast
  533. Info (12023): Found entity 1: rw_manager_data_broadcast
  534. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_data_decoder.v
  535. Info (12023): Found entity 1: rw_manager_data_decoder
  536. Info (12023): Found entity 1: rw_manager_data_decoder
  537. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ddr3.v
  538. Info (12023): Found entity 1: rw_manager_ddr3
  539. Info (12023): Found entity 1: rw_manager_ddr3
  540. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_di_buffer.v
  541. Info (12023): Found entity 1: rw_manager_di_buffer
  542. Info (12023): Found entity 1: rw_manager_di_buffer
  543. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_di_buffer_wrap.v
  544. Info (12023): Found entity 1: rw_manager_di_buffer_wrap
  545. Info (12023): Found entity 1: rw_manager_di_buffer_wrap
  546. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_dm_decoder.v
  547. Info (12023): Found entity 1: rw_manager_dm_decoder
  548. Info (12023): Found entity 1: rw_manager_dm_decoder
  549. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_generic.sv
  550. Info (12023): Found entity 1: rw_manager_generic
  551. Info (12023): Found entity 1: rw_manager_generic
  552. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_inst_rom_reg.v
  553. Info (12023): Found entity 1: rw_manager_inst_ROM_reg
  554. Info (12023): Found entity 1: rw_manager_inst_ROM_reg
  555. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_jumplogic.v
  556. Info (12023): Found entity 1: rw_manager_jumplogic
  557. Info (12023): Found entity 1: rw_manager_jumplogic
  558. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_lfsr12.v
  559. Info (12023): Found entity 1: rw_manager_lfsr12
  560. Info (12023): Found entity 1: rw_manager_lfsr12
  561. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_lfsr36.v
  562. Info (12023): Found entity 1: rw_manager_lfsr36
  563. Info (12023): Found entity 1: rw_manager_lfsr36
  564. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_lfsr72.v
  565. Info (12023): Found entity 1: rw_manager_lfsr72
  566. Info (12023): Found entity 1: rw_manager_lfsr72
  567. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_pattern_fifo.v
  568. Info (12023): Found entity 1: rw_manager_pattern_fifo
  569. Info (12023): Found entity 1: rw_manager_pattern_fifo
  570. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ram.v
  571. Info (12023): Found entity 1: rw_manager_ram
  572. Info (12023): Found entity 1: rw_manager_ram
  573. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ram_csr.v
  574. Info (12023): Found entity 1: rw_manager_ram_csr
  575. Info (12023): Found entity 1: rw_manager_ram_csr
  576. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_read_datapath.v
  577. Info (12023): Found entity 1: rw_manager_read_datapath
  578. Info (12023): Found entity 1: rw_manager_read_datapath
  579. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_write_decoder.v
  580. Info (12023): Found entity 1: rw_manager_write_decoder
  581. Info (12023): Found entity 1: rw_manager_write_decoder
  582. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/sequencer_m10.sv
  583. Info (12023): Found entity 1: sequencer_m10
  584. Info (12023): Found entity 1: sequencer_m10
  585. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/sequencer_phy_mgr.sv
  586. Info (12023): Found entity 1: sequencer_phy_mgr
  587. Info (12023): Found entity 1: sequencer_phy_mgr
  588. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/sequencer_pll_mgr.sv
  589. Info (12023): Found entity 1: sequencer_pll_mgr
  590. Info (12023): Found entity 1: sequencer_pll_mgr
  591. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_m10_ac_rom.v
  592. Info (12023): Found entity 1: rw_manager_m10_ac_ROM
  593. Info (12023): Found entity 1: rw_manager_m10_ac_ROM
  594. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_m10_inst_rom.v
  595. Info (12023): Found entity 1: rw_manager_m10_inst_ROM
  596. Info (12023): Found entity 1: rw_manager_m10_inst_ROM
  597. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/afi_mux_ddr3_ddrx.v
  598. Info (12023): Found entity 1: afi_mux_ddr3_ddrx
  599. Info (12023): Found entity 1: afi_mux_ddr3_ddrx
  600. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_clock_pair_generator.v
  601. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_clock_pair_generator
  602. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_clock_pair_generator
  603. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_read_valid_selector.v
  604. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_valid_selector
  605. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_valid_selector
  606. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath.v
  607. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath
  608. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath
  609. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_reset_m10.v
  610. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_m10
  611. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_m10
  612. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_memphy_m10.sv
  613. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_memphy_m10
  614. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_memphy_m10
  615. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10.sv
  616. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10
  617. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10
  618. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_reset_sync.v
  619. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_sync
  620. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_sync
  621. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter.v
  622. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter
  623. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter
  624. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10.sv
  625. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10
  626. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10
  627. Warning (10238): Verilog Module Declaration warning at mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10.v(33): ignored anonymous port(s) indicated by duplicate or dangling comma(s) in the port list for module "mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10"
  628. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10.v
  629. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10
  630. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10
  631. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10.sv
  632. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10
  633. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10
  634. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/max10emif_dcfifo.sv
  635. Info (12023): Found entity 1: max10emif_dcfifo
  636. Info (12023): Found entity 1: max10emif_dcfifo
  637. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_iss_probe.v
  638. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_iss_probe
  639. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_iss_probe
  640. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10.v
  641. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10
  642. Info (12023): Found entity 2: addr_cmd_pad_m10
  643. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10
  644. Info (12023): Found entity 2: addr_cmd_pad_m10
  645. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_flop_mem.v
  646. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_flop_mem
  647. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_flop_mem
  648. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0.sv
  649. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0
  650. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0
  651. Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/altera_gpio_lite.sv
  652. Info (12023): Found entity 1: altgpio_one_bit
  653. Info (12023): Found entity 2: altera_gpio_lite
  654. Info (12023): Found entity 1: altgpio_one_bit
  655. Info (12023): Found entity 2: altera_gpio_lite
  656. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_pll0.sv
  657. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_pll0
  658. Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_pll0
  659. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_led.v
  660. Info (12023): Found entity 1: mipi_vip_led
  661. Info (12023): Found entity 1: mipi_vip_led
  662. Info (12021): Found 5 design units, including 5 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_jtag_uart.v
  663. Info (12023): Found entity 1: mipi_vip_jtag_uart_sim_scfifo_w
  664. Info (12023): Found entity 2: mipi_vip_jtag_uart_scfifo_w
  665. Info (12023): Found entity 3: mipi_vip_jtag_uart_sim_scfifo_r
  666. Info (12023): Found entity 4: mipi_vip_jtag_uart_scfifo_r
  667. Info (12023): Found entity 5: mipi_vip_jtag_uart
  668. Info (12023): Found entity 1: mipi_vip_jtag_uart_sim_scfifo_w
  669. Info (12023): Found entity 2: mipi_vip_jtag_uart_scfifo_w
  670. Info (12023): Found entity 3: mipi_vip_jtag_uart_sim_scfifo_r
  671. Info (12023): Found entity 4: mipi_vip_jtag_uart_scfifo_r
  672. Info (12023): Found entity 5: mipi_vip_jtag_uart
  673. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_opencores.v
  674. Info (12023): Found entity 1: i2c_opencores
  675. Info (12023): Found entity 1: i2c_opencores
  676. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_master_top.v
  677. Info (12023): Found entity 1: i2c_master_top
  678. Info (12023): Found entity 1: i2c_master_top
  679. Info (12021): Found 0 design units, including 0 entities, in source file mipi_vip/synthesis/submodules/i2c_master_defines.v
  680. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_master_byte_ctrl.v
  681. Info (12023): Found entity 1: i2c_master_byte_ctrl
  682. Info (12023): Found entity 1: i2c_master_byte_ctrl
  683. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_master_bit_ctrl.v
  684. Info (12023): Found entity 1: i2c_master_bit_ctrl
  685. Info (12023): Found entity 1: i2c_master_bit_ctrl
  686. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_hdmi_cvo.v
  687. Info (12023): Found entity 1: mipi_vip_hdmi_cvo
  688. Info (12023): Found entity 1: mipi_vip_hdmi_cvo
  689. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_fifo.v
  690. Info (12023): Found entity 1: alt_vip_common_fifo
  691. Info (12023): Found entity 1: alt_vip_common_fifo
  692. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_generic_step_count.v
  693. Info (12023): Found entity 1: alt_vip_common_generic_step_count
  694. Info (12023): Found entity 1: alt_vip_common_generic_step_count
  695. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_to_binary.v
  696. Info (12023): Found entity 1: alt_vip_common_to_binary
  697. Info (12023): Found entity 1: alt_vip_common_to_binary
  698. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_sync.v
  699. Info (12023): Found entity 1: alt_vip_common_sync
  700. Info (12023): Found entity 1: alt_vip_common_sync
  701. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_trigger_sync.v
  702. Info (12023): Found entity 1: alt_vip_common_trigger_sync
  703. Info (12023): Found entity 1: alt_vip_common_trigger_sync
  704. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_sync_generation.v
  705. Info (12023): Found entity 1: alt_vip_common_sync_generation
  706. Info (12023): Found entity 1: alt_vip_common_sync_generation
  707. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_frame_counter.v
  708. Info (12023): Found entity 1: alt_vip_common_frame_counter
  709. Info (12023): Found entity 1: alt_vip_common_frame_counter
  710. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_sample_counter.v
  711. Info (12023): Found entity 1: alt_vip_common_sample_counter
  712. Info (12023): Found entity 1: alt_vip_common_sample_counter
  713. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_stream_marker.sv
  714. Info (12023): Found entity 1: alt_vip_cvo_stream_marker
  715. Info (12023): Found entity 1: alt_vip_cvo_stream_marker
  716. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_core.sv
  717. Info (12023): Found entity 1: alt_vip_cvo_core
  718. Info (12023): Found entity 1: alt_vip_cvo_core
  719. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_sync_compare.v
  720. Info (12023): Found entity 1: alt_vip_cvo_sync_compare
  721. Info (12023): Found entity 1: alt_vip_cvo_sync_compare
  722. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_sync_conditioner.sv
  723. Info (12023): Found entity 1: alt_vip_cvo_sync_conditioner
  724. Info (12023): Found entity 1: alt_vip_cvo_sync_conditioner
  725. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_sync_generation.sv
  726. Info (12023): Found entity 1: alt_vip_cvo_sync_generation
  727. Info (12023): Found entity 1: alt_vip_cvo_sync_generation
  728. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_calculate_mode.v
  729. Info (12023): Found entity 1: alt_vip_cvo_calculate_mode
  730. Info (12023): Found entity 1: alt_vip_cvo_calculate_mode
  731. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_mode_banks.sv
  732. Info (12023): Found entity 1: alt_vip_cvo_mode_banks
  733. Info (12023): Found entity 1: alt_vip_cvo_mode_banks
  734. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_statemachine.sv
  735. Info (12023): Found entity 1: alt_vip_cvo_statemachine
  736. Info (12023): Found entity 1: alt_vip_cvo_statemachine
  737. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_scheduler.sv
  738. Info (12023): Found entity 1: alt_vip_cvo_scheduler
  739. Info (12023): Found entity 1: alt_vip_cvo_scheduler
  740. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_frame_buffer.v
  741. Info (12023): Found entity 1: mipi_vip_frame_buffer
  742. Info (12023): Found entity 1: mipi_vip_frame_buffer
  743. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_vfb_sync_ctrl.sv
  744. Info (12023): Found entity 1: alt_vip_vfb_sync_ctrl
  745. Info (12023): Found entity 1: alt_vip_vfb_sync_ctrl
  746. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_dc_mixed_widths_fifo.sv
  747. Info (12023): Found entity 1: alt_vip_common_dc_mixed_widths_fifo
  748. Info (12023): Found entity 1: alt_vip_common_dc_mixed_widths_fifo
  749. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_fifo2.sv
  750. Info (12023): Found entity 1: alt_vip_common_fifo2
  751. Info (12023): Found entity 1: alt_vip_common_fifo2
  752. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_delay.sv
  753. Info (12023): Found entity 1: alt_vip_common_delay
  754. Info (12023): Found entity 1: alt_vip_common_delay
  755. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_clock_crossing_bridge_grey.sv
  756. Info (12023): Found entity 1: alt_vip_common_clock_crossing_bridge_grey
  757. Info (12023): Found entity 1: alt_vip_common_clock_crossing_bridge_grey
  758. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_pack_proc.sv
  759. Info (12023): Found entity 1: alt_vip_packet_transfer_pack_proc
  760. Info (12023): Found entity 1: alt_vip_packet_transfer_pack_proc
  761. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_twofold_ram.sv
  762. Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram
  763. Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram
  764. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_twofold_ram_reversed.sv
  765. Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram_reversed
  766. Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram_reversed
  767. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_read_proc.sv
  768. Info (12023): Found entity 1: alt_vip_packet_transfer_read_proc
  769. Info (12023): Found entity 1: alt_vip_packet_transfer_read_proc
  770. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_write_proc.sv
  771. Info (12023): Found entity 1: alt_vip_packet_transfer_write_proc
  772. Info (12023): Found entity 1: alt_vip_packet_transfer_write_proc
  773. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer.sv
  774. Info (12023): Found entity 1: alt_vip_packet_transfer
  775. Info (12023): Found entity 1: alt_vip_packet_transfer
  776. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_vfb_rd_ctrl.sv
  777. Info (12023): Found entity 1: alt_vip_vfb_rd_ctrl
  778. Info (12023): Found entity 1: alt_vip_vfb_rd_ctrl
  779. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_vfb_wr_ctrl.sv
  780. Info (12023): Found entity 1: alt_vip_vfb_wr_ctrl
  781. Info (12023): Found entity 1: alt_vip_vfb_wr_ctrl
  782. Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_ddr3_status.v
  783. Info (12023): Found entity 1: mipi_vip_ddr3_status
  784. Info (12023): Found entity 1: mipi_vip_ddr3_status
  785. Info (12021): Found 4 design units, including 4 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_altpll_sys.v
  786. Info (12023): Found entity 1: mipi_vip_altpll_sys_dffpipe_l2c
  787. Info (12023): Found entity 2: mipi_vip_altpll_sys_stdsync_sv6
  788. Info (12023): Found entity 3: mipi_vip_altpll_sys_altpll_dsf2
  789. Info (12023): Found entity 4: mipi_vip_altpll_sys
  790. Info (12023): Found entity 1: mipi_vip_altpll_sys_dffpipe_l2c
  791. Info (12023): Found entity 2: mipi_vip_altpll_sys_stdsync_sv6
  792. Info (12023): Found entity 3: mipi_vip_altpll_sys_altpll_dsf2
  793. Info (12023): Found entity 4: mipi_vip_altpll_sys
  794. Info (12021): Found 4 design units, including 4 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_altpll_mipi.v
  795. Info (12023): Found entity 1: mipi_vip_altpll_mipi_dffpipe_l2c
  796. Info (12023): Found entity 2: mipi_vip_altpll_mipi_stdsync_sv6
  797. Info (12023): Found entity 3: mipi_vip_altpll_mipi_altpll_9t22
  798. Info (12023): Found entity 4: mipi_vip_altpll_mipi
  799. Info (12023): Found entity 1: mipi_vip_altpll_mipi_dffpipe_l2c
  800. Info (12023): Found entity 2: mipi_vip_altpll_mipi_stdsync_sv6
  801. Info (12023): Found entity 3: mipi_vip_altpll_mipi_altpll_9t22
  802. Info (12023): Found entity 4: mipi_vip_altpll_mipi
  803. Info (12021): Found 1 design units, including 1 entities, in source file ip/stream_fifo/stream_fifo.v
  804. Info (12023): Found entity 1: stream_fifo
  805. Info (12023): Found entity 1: stream_fifo
  806. Info (12021): Found 1 design units, including 1 entities, in source file ip/mipi_lvds/mipi_lvds.v
  807. Info (12023): Found entity 1: mipi_lvds
  808. Info (12023): Found entity 1: mipi_lvds
  809. Info (12021): Found 2 design units, including 2 entities, in source file ip/mipi_lvds/mipi_lvds/mipi_lvds_0002.v
  810. Info (12023): Found entity 1: mipi_lvds_0002_lvds_ddio_in_7td
  811. Info (12023): Found entity 2: mipi_lvds_0002
  812. Info (12023): Found entity 1: mipi_lvds_0002_lvds_ddio_in_7td
  813. Info (12023): Found entity 2: mipi_lvds_0002
  814. Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(177): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
  815. Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(178): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
  816. Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(179): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
  817. Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(180): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
  818. Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(182): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
  819. Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(185): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
  820. Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_common_frame_counter.v(50): Parameter Declaration in module "alt_vip_common_frame_counter" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
  821. Warning (12125): Using design file mipi_to_hdmi_terasic.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
  822. Info (12023): Found entity 1: mipi_to_hdmi_terasic
  823. Info (12023): Found entity 1: mipi_to_hdmi_terasic
  824. Warning (10236): Verilog HDL Implicit Net warning at mipi_to_hdmi_terasic.v(196): created implicit net for "pkg_clk"
  825. Warning (10236): Verilog HDL Implicit Net warning at mipi_to_hdmi_terasic.v(203): created implicit net for "pixel_frame_valid"
  826. Warning (10236): Verilog HDL Implicit Net warning at mipi_to_hdmi_terasic.v(209): created implicit net for "pixel_valid"
  827. Info (12127): Elaborating entity "mipi_to_hdmi_terasic" for the top level hierarchy
  828. Warning (10034): Output port "LED[5..3]" at mipi_to_hdmi_terasic.v(17) has no driver
  829. Info (12128): Elaborating entity "mipi_vip" for hierarchy "mipi_vip:u0"
  830. Info (12128): Elaborating entity "mipi_vip_altpll_mipi" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi"
  831. Info (12128): Elaborating entity "mipi_vip_altpll_mipi_stdsync_sv6" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi|mipi_vip_altpll_mipi_stdsync_sv6:stdsync2"
  832. Info (12128): Elaborating entity "mipi_vip_altpll_mipi_dffpipe_l2c" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi|mipi_vip_altpll_mipi_stdsync_sv6:stdsync2|mipi_vip_altpll_mipi_dffpipe_l2c:dffpipe3"
  833. Info (12128): Elaborating entity "mipi_vip_altpll_mipi_altpll_9t22" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi|mipi_vip_altpll_mipi_altpll_9t22:sd1"
  834. Info (12128): Elaborating entity "mipi_vip_altpll_sys" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys"
  835. Info (12128): Elaborating entity "mipi_vip_altpll_sys_stdsync_sv6" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys|mipi_vip_altpll_sys_stdsync_sv6:stdsync2"
  836. Info (12128): Elaborating entity "mipi_vip_altpll_sys_dffpipe_l2c" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys|mipi_vip_altpll_sys_stdsync_sv6:stdsync2|mipi_vip_altpll_sys_dffpipe_l2c:dffpipe3"
  837. Info (12128): Elaborating entity "mipi_vip_altpll_sys_altpll_dsf2" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys|mipi_vip_altpll_sys_altpll_dsf2:sd1"
  838. Info (12128): Elaborating entity "mipi_vip_ddr3_status" for hierarchy "mipi_vip:u0|mipi_vip_ddr3_status:ddr3_status"
  839. Info (12128): Elaborating entity "mipi_vip_frame_buffer" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer"
  840. Info (12128): Elaborating entity "alt_vip_video_input_bridge" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in"
  841. Info (12128): Elaborating entity "alt_vip_common_video_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_video_packet_decode:video_input"
  842. Info (12128): Elaborating entity "alt_vip_common_latency_1_to_latency_0" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_video_packet_decode:video_input|alt_vip_common_latency_1_to_latency_0:latency_converter"
  843. Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_event_packet_decode:cmd_input"
  844. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_event_packet_encode:rsp_output"
  845. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_event_packet_encode:data_output"
  846. Info (12128): Elaborating entity "alt_vip_vfb_wr_ctrl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl"
  847. Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_decode:vib_resp_input"
  848. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_encode:vib_cmd_encoder"
  849. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_encode:sync_resp_encoder"
  850. Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_decode:din_decoder"
  851. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_encode:dout_encoder"
  852. Info (12128): Elaborating entity "alt_vip_vfb_rd_ctrl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_rd_ctrl:rd_ctrl"
  853. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_rd_ctrl:rd_ctrl|alt_vip_common_event_packet_encode:pt_cmd_encoder"
  854. Info (12128): Elaborating entity "alt_vip_video_output_bridge" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_output_bridge:video_out"
  855. Info (12128): Elaborating entity "alt_vip_common_video_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_output_bridge:video_out|alt_vip_common_video_packet_encode:video_output"
  856. Info (12128): Elaborating entity "alt_vip_common_latency_0_to_latency_1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_output_bridge:video_out|alt_vip_common_video_packet_encode:video_output|alt_vip_common_latency_0_to_latency_1:latency_converter"
  857. Info (12128): Elaborating entity "alt_vip_packet_transfer" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr"
  858. Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_common_event_packet_decode:cmd_input"
  859. Info (12128): Elaborating entity "alt_vip_packet_transfer_write_proc" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance"
  860. Info (12128): Elaborating entity "alt_vip_packet_transfer_twofold_ram" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram"
  861. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0"
  862. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0"
  863. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0" with the following parameter:
  864. Info (12134): Parameter "address_aclr_b" = "NONE"
  865. Info (12134): Parameter "address_reg_b" = "CLOCK1"
  866. Info (12134): Parameter "byte_size" = "8"
  867. Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
  868. Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
  869. Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
  870. Info (12134): Parameter "intended_device_family" = "MAX 10"
  871. Info (12134): Parameter "lpm_type" = "altsyncram"
  872. Info (12134): Parameter "numwords_a" = "00000000000000000000000100000000"
  873. Info (12134): Parameter "numwords_b" = "00000000000000000000000010000000"
  874. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  875. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  876. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  877. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  878. Info (12134): Parameter "widthad_a" = "8"
  879. Info (12134): Parameter "widthad_b" = "00000000000000000000000000000111"
  880. Info (12134): Parameter "width_a" = "32"
  881. Info (12134): Parameter "width_b" = "64"
  882. Info (12134): Parameter "width_byteena_a" = "4"
  883. Info (12134): Parameter "address_aclr_b" = "NONE"
  884. Info (12134): Parameter "address_reg_b" = "CLOCK1"
  885. Info (12134): Parameter "byte_size" = "8"
  886. Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
  887. Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
  888. Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
  889. Info (12134): Parameter "intended_device_family" = "MAX 10"
  890. Info (12134): Parameter "lpm_type" = "altsyncram"
  891. Info (12134): Parameter "numwords_a" = "00000000000000000000000100000000"
  892. Info (12134): Parameter "numwords_b" = "00000000000000000000000010000000"
  893. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  894. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  895. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  896. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  897. Info (12134): Parameter "widthad_a" = "8"
  898. Info (12134): Parameter "widthad_b" = "00000000000000000000000000000111"
  899. Info (12134): Parameter "width_a" = "32"
  900. Info (12134): Parameter "width_b" = "64"
  901. Info (12134): Parameter "width_byteena_a" = "4"
  902. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_67n1.tdf
  903. Info (12023): Found entity 1: altsyncram_67n1
  904. Info (12023): Found entity 1: altsyncram_67n1
  905. Info (12128): Elaborating entity "altsyncram_67n1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0|altsyncram_67n1:auto_generated"
  906. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|alt_vip_common_delay:start_seg_std_delay_line"
  907. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:state_delay_line"
  908. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:state_delay_line_2"
  909. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:dl_ctxt_target_addr"
  910. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:dl_ctxt_addr"
  911. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:dl_ctxt_valid_bits"
  912. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:unload_req_crosser"
  913. Info (12128): Elaborating entity "alt_vip_common_clock_crossing_bridge_grey" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_clock_crossing_bridge_grey:mem_ctr_crosser"
  914. Info (12128): Elaborating entity "alt_vip_packet_transfer" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd"
  915. Info (12128): Elaborating entity "alt_vip_packet_transfer_read_proc" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance"
  916. Info (12128): Elaborating entity "alt_vip_packet_transfer_twofold_ram_reversed" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed"
  917. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0"
  918. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0"
  919. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0" with the following parameter:
  920. Info (12134): Parameter "address_aclr_b" = "NONE"
  921. Info (12134): Parameter "address_reg_b" = "CLOCK1"
  922. Info (12134): Parameter "byte_size" = "8"
  923. Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
  924. Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
  925. Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
  926. Info (12134): Parameter "intended_device_family" = "MAX 10"
  927. Info (12134): Parameter "lpm_type" = "altsyncram"
  928. Info (12134): Parameter "numwords_a" = "00000000000000000000000010000000"
  929. Info (12134): Parameter "numwords_b" = "00000000000000000000000100000000"
  930. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  931. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  932. Info (12134): Parameter "outdata_reg_b" = "CLOCK1"
  933. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  934. Info (12134): Parameter "widthad_a" = "00000000000000000000000000000111"
  935. Info (12134): Parameter "widthad_b" = "8"
  936. Info (12134): Parameter "width_a" = "64"
  937. Info (12134): Parameter "width_b" = "32"
  938. Info (12134): Parameter "address_aclr_b" = "NONE"
  939. Info (12134): Parameter "address_reg_b" = "CLOCK1"
  940. Info (12134): Parameter "byte_size" = "8"
  941. Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
  942. Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
  943. Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
  944. Info (12134): Parameter "intended_device_family" = "MAX 10"
  945. Info (12134): Parameter "lpm_type" = "altsyncram"
  946. Info (12134): Parameter "numwords_a" = "00000000000000000000000010000000"
  947. Info (12134): Parameter "numwords_b" = "00000000000000000000000100000000"
  948. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  949. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  950. Info (12134): Parameter "outdata_reg_b" = "CLOCK1"
  951. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  952. Info (12134): Parameter "widthad_a" = "00000000000000000000000000000111"
  953. Info (12134): Parameter "widthad_b" = "8"
  954. Info (12134): Parameter "width_a" = "64"
  955. Info (12134): Parameter "width_b" = "32"
  956. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_isj1.tdf
  957. Info (12023): Found entity 1: altsyncram_isj1
  958. Info (12023): Found entity 1: altsyncram_isj1
  959. Info (12128): Elaborating entity "altsyncram_isj1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0|altsyncram_isj1:auto_generated"
  960. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_delay:control_signal_delay_line"
  961. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_delay:output_cid_delay_line"
  962. Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_delay:output_did_delay_line"
  963. Info (12128): Elaborating entity "alt_vip_common_fifo2" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue"
  964. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component"
  965. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component"
  966. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component" with the following parameter:
  967. Info (12134): Parameter "add_ram_output_register" = "OFF"
  968. Info (12134): Parameter "almost_empty_value" = "2"
  969. Info (12134): Parameter "almost_full_value" = "1"
  970. Info (12134): Parameter "lpm_numwords" = "2"
  971. Info (12134): Parameter "lpm_showahead" = "OFF"
  972. Info (12134): Parameter "lpm_type" = "scfifo"
  973. Info (12134): Parameter "lpm_width" = "12"
  974. Info (12134): Parameter "lpm_widthu" = "1"
  975. Info (12134): Parameter "overflow_checking" = "OFF"
  976. Info (12134): Parameter "underflow_checking" = "OFF"
  977. Info (12134): Parameter "use_eab" = "ON"
  978. Info (12134): Parameter "add_ram_output_register" = "OFF"
  979. Info (12134): Parameter "almost_empty_value" = "2"
  980. Info (12134): Parameter "almost_full_value" = "1"
  981. Info (12134): Parameter "lpm_numwords" = "2"
  982. Info (12134): Parameter "lpm_showahead" = "OFF"
  983. Info (12134): Parameter "lpm_type" = "scfifo"
  984. Info (12134): Parameter "lpm_width" = "12"
  985. Info (12134): Parameter "lpm_widthu" = "1"
  986. Info (12134): Parameter "overflow_checking" = "OFF"
  987. Info (12134): Parameter "underflow_checking" = "OFF"
  988. Info (12134): Parameter "use_eab" = "ON"
  989. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_2h61.tdf
  990. Info (12023): Found entity 1: scfifo_2h61
  991. Info (12023): Found entity 1: scfifo_2h61
  992. Info (12128): Elaborating entity "scfifo_2h61" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated"
  993. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_k801.tdf
  994. Info (12023): Found entity 1: a_dpfifo_k801
  995. Info (12023): Found entity 1: a_dpfifo_k801
  996. Info (12128): Elaborating entity "a_dpfifo_k801" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo"
  997. Info (12021): Found 1 design units, including 1 entities, in source file db/a_fefifo_aaf.tdf
  998. Info (12023): Found entity 1: a_fefifo_aaf
  999. Info (12023): Found entity 1: a_fefifo_aaf
  1000. Info (12128): Elaborating entity "a_fefifo_aaf" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|a_fefifo_aaf:fifo_state"
  1001. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_u27.tdf
  1002. Info (12023): Found entity 1: cntr_u27
  1003. Info (12023): Found entity 1: cntr_u27
  1004. Info (12128): Elaborating entity "cntr_u27" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|a_fefifo_aaf:fifo_state|cntr_u27:count_usedw"
  1005. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_4rl1.tdf
  1006. Info (12023): Found entity 1: altsyncram_4rl1
  1007. Info (12023): Found entity 1: altsyncram_4rl1
  1008. Info (12128): Elaborating entity "altsyncram_4rl1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|altsyncram_4rl1:FIFOram"
  1009. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_i2b.tdf
  1010. Info (12023): Found entity 1: cntr_i2b
  1011. Info (12023): Found entity 1: cntr_i2b
  1012. Info (12128): Elaborating entity "cntr_i2b" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|cntr_i2b:rd_ptr_count"
  1013. Info (12128): Elaborating entity "alt_vip_common_fifo2" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue"
  1014. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component"
  1015. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component"
  1016. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component" with the following parameter:
  1017. Info (12134): Parameter "add_ram_output_register" = "OFF"
  1018. Info (12134): Parameter "almost_empty_value" = "2"
  1019. Info (12134): Parameter "almost_full_value" = "3"
  1020. Info (12134): Parameter "lpm_numwords" = "4"
  1021. Info (12134): Parameter "lpm_showahead" = "OFF"
  1022. Info (12134): Parameter "lpm_type" = "scfifo"
  1023. Info (12134): Parameter "lpm_width" = "8"
  1024. Info (12134): Parameter "lpm_widthu" = "2"
  1025. Info (12134): Parameter "overflow_checking" = "OFF"
  1026. Info (12134): Parameter "underflow_checking" = "OFF"
  1027. Info (12134): Parameter "use_eab" = "ON"
  1028. Info (12134): Parameter "add_ram_output_register" = "OFF"
  1029. Info (12134): Parameter "almost_empty_value" = "2"
  1030. Info (12134): Parameter "almost_full_value" = "3"
  1031. Info (12134): Parameter "lpm_numwords" = "4"
  1032. Info (12134): Parameter "lpm_showahead" = "OFF"
  1033. Info (12134): Parameter "lpm_type" = "scfifo"
  1034. Info (12134): Parameter "lpm_width" = "8"
  1035. Info (12134): Parameter "lpm_widthu" = "2"
  1036. Info (12134): Parameter "overflow_checking" = "OFF"
  1037. Info (12134): Parameter "underflow_checking" = "OFF"
  1038. Info (12134): Parameter "use_eab" = "ON"
  1039. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_sf61.tdf
  1040. Info (12023): Found entity 1: scfifo_sf61
  1041. Info (12023): Found entity 1: scfifo_sf61
  1042. Info (12128): Elaborating entity "scfifo_sf61" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated"
  1043. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_c701.tdf
  1044. Info (12023): Found entity 1: a_dpfifo_c701
  1045. Info (12023): Found entity 1: a_dpfifo_c701
  1046. Info (12128): Elaborating entity "a_dpfifo_c701" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo"
  1047. Info (12021): Found 1 design units, including 1 entities, in source file db/a_fefifo_daf.tdf
  1048. Info (12023): Found entity 1: a_fefifo_daf
  1049. Info (12023): Found entity 1: a_fefifo_daf
  1050. Info (12128): Elaborating entity "a_fefifo_daf" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|a_fefifo_daf:fifo_state"
  1051. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_v27.tdf
  1052. Info (12023): Found entity 1: cntr_v27
  1053. Info (12023): Found entity 1: cntr_v27
  1054. Info (12128): Elaborating entity "cntr_v27" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|a_fefifo_daf:fifo_state|cntr_v27:count_usedw"
  1055. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_kol1.tdf
  1056. Info (12023): Found entity 1: altsyncram_kol1
  1057. Info (12023): Found entity 1: altsyncram_kol1
  1058. Info (12128): Elaborating entity "altsyncram_kol1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|altsyncram_kol1:FIFOram"
  1059. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_j2b.tdf
  1060. Info (12023): Found entity 1: cntr_j2b
  1061. Info (12023): Found entity 1: cntr_j2b
  1062. Info (12128): Elaborating entity "cntr_j2b" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|cntr_j2b:rd_ptr_count"
  1063. Info (12128): Elaborating entity "alt_vip_common_dc_mixed_widths_fifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue"
  1064. Info (12128): Elaborating entity "dcfifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo"
  1065. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo"
  1066. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo" with the following parameter:
  1067. Info (12134): Parameter "intended_device_family" = "MAX 10"
  1068. Info (12134): Parameter "lpm_type" = "dcfifo"
  1069. Info (12134): Parameter "lpm_numwords" = "4"
  1070. Info (12134): Parameter "lpm_showahead" = "OFF"
  1071. Info (12134): Parameter "underflow_checking" = "OFF"
  1072. Info (12134): Parameter "overflow_checking" = "OFF"
  1073. Info (12134): Parameter "lpm_width" = "1"
  1074. Info (12134): Parameter "lpm_widthu" = "2"
  1075. Info (12134): Parameter "clocks_are_synchronized" = "FALSE"
  1076. Info (12134): Parameter "write_aclr_synch" = "ON"
  1077. Info (12134): Parameter "wrsync_delaypipe" = "4"
  1078. Info (12134): Parameter "read_aclr_synch" = "ON"
  1079. Info (12134): Parameter "rdsync_delaypipe" = "4"
  1080. Info (12134): Parameter "use_eab" = "ON"
  1081. Info (12134): Parameter "intended_device_family" = "MAX 10"
  1082. Info (12134): Parameter "lpm_type" = "dcfifo"
  1083. Info (12134): Parameter "lpm_numwords" = "4"
  1084. Info (12134): Parameter "lpm_showahead" = "OFF"
  1085. Info (12134): Parameter "underflow_checking" = "OFF"
  1086. Info (12134): Parameter "overflow_checking" = "OFF"
  1087. Info (12134): Parameter "lpm_width" = "1"
  1088. Info (12134): Parameter "lpm_widthu" = "2"
  1089. Info (12134): Parameter "clocks_are_synchronized" = "FALSE"
  1090. Info (12134): Parameter "write_aclr_synch" = "ON"
  1091. Info (12134): Parameter "wrsync_delaypipe" = "4"
  1092. Info (12134): Parameter "read_aclr_synch" = "ON"
  1093. Info (12134): Parameter "rdsync_delaypipe" = "4"
  1094. Info (12134): Parameter "use_eab" = "ON"
  1095. Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_npo1.tdf
  1096. Info (12023): Found entity 1: dcfifo_npo1
  1097. Info (12023): Found entity 1: dcfifo_npo1
  1098. Info (12128): Elaborating entity "dcfifo_npo1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated"
  1099. Info (12021): Found 1 design units, including 1 entities, in source file db/a_gray2bin_era.tdf
  1100. Info (12023): Found entity 1: a_gray2bin_era
  1101. Info (12023): Found entity 1: a_gray2bin_era
  1102. Info (12128): Elaborating entity "a_gray2bin_era" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|a_gray2bin_era:rdptr_g_gray2bin"
  1103. Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_dg6.tdf
  1104. Info (12023): Found entity 1: a_graycounter_dg6
  1105. Info (12023): Found entity 1: a_graycounter_dg6
  1106. Info (12128): Elaborating entity "a_graycounter_dg6" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|a_graycounter_dg6:rdptr_g1p"
  1107. Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_9ub.tdf
  1108. Info (12023): Found entity 1: a_graycounter_9ub
  1109. Info (12023): Found entity 1: a_graycounter_9ub
  1110. Info (12128): Elaborating entity "a_graycounter_9ub" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|a_graycounter_9ub:wrptr_g1p"
  1111. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_k661.tdf
  1112. Info (12023): Found entity 1: altsyncram_k661
  1113. Info (12023): Found entity 1: altsyncram_k661
  1114. Info (12128): Elaborating entity "altsyncram_k661" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|altsyncram_k661:fifo_ram"
  1115. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_3dc.tdf
  1116. Info (12023): Found entity 1: dffpipe_3dc
  1117. Info (12023): Found entity 1: dffpipe_3dc
  1118. Info (12128): Elaborating entity "dffpipe_3dc" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|dffpipe_3dc:rdaclr"
  1119. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_ad9.tdf
  1120. Info (12023): Found entity 1: dffpipe_ad9
  1121. Info (12023): Found entity 1: dffpipe_ad9
  1122. Info (12128): Elaborating entity "dffpipe_ad9" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|dffpipe_ad9:rs_brp"
  1123. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_qnl.tdf
  1124. Info (12023): Found entity 1: alt_synch_pipe_qnl
  1125. Info (12023): Found entity 1: alt_synch_pipe_qnl
  1126. Info (12128): Elaborating entity "alt_synch_pipe_qnl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_qnl:rs_dgwp"
  1127. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_bd9.tdf
  1128. Info (12023): Found entity 1: dffpipe_bd9
  1129. Info (12023): Found entity 1: dffpipe_bd9
  1130. Info (12128): Elaborating entity "dffpipe_bd9" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_qnl:rs_dgwp|dffpipe_bd9:dffpipe13"
  1131. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_rnl.tdf
  1132. Info (12023): Found entity 1: alt_synch_pipe_rnl
  1133. Info (12023): Found entity 1: alt_synch_pipe_rnl
  1134. Info (12128): Elaborating entity "alt_synch_pipe_rnl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_rnl:ws_dgrp"
  1135. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_cd9.tdf
  1136. Info (12023): Found entity 1: dffpipe_cd9
  1137. Info (12023): Found entity 1: dffpipe_cd9
  1138. Info (12128): Elaborating entity "dffpipe_cd9" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_rnl:ws_dgrp|dffpipe_cd9:dffpipe16"
  1139. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_vg5.tdf
  1140. Info (12023): Found entity 1: cmpr_vg5
  1141. Info (12023): Found entity 1: cmpr_vg5
  1142. Info (12128): Elaborating entity "cmpr_vg5" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|cmpr_vg5:rdempty_eq_comp"
  1143. Info (12128): Elaborating entity "alt_vip_vfb_sync_ctrl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_sync_ctrl:sync_ctrl"
  1144. Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "anc_buffer_info" into its bus
  1145. Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "next_anc_buffer_info" into its bus
  1146. Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "frame_buffer_address" into its bus
  1147. Info (12128): Elaborating entity "mipi_vip_hdmi_cvo" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo"
  1148. Info (12128): Elaborating entity "alt_vip_video_input_bridge" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_video_input_bridge:video_in"
  1149. Info (12128): Elaborating entity "alt_vip_cvo_scheduler" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_scheduler:scheduler"
  1150. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(195): truncated value with size 32 to match size of target (1)
  1151. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(196): truncated value with size 32 to match size of target (1)
  1152. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(620): truncated value with size 32 to match size of target (2)
  1153. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(627): truncated value with size 32 to match size of target (8)
  1154. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(630): truncated value with size 32 to match size of target (8)
  1155. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(636): truncated value with size 32 to match size of target (8)
  1156. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(647): truncated value with size 32 to match size of target (8)
  1157. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(650): truncated value with size 32 to match size of target (2)
  1158. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(699): truncated value with size 32 to match size of target (16)
  1159. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_vib_encoder"
  1160. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_mode_banks_encoder"
  1161. Info (12128): Elaborating entity "alt_vip_cvo_core" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core"
  1162. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_core.sv(287): object "is_ready_reg" assigned a value but never read
  1163. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(425): truncated value with size 32 to match size of target (1)
  1164. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(711): truncated value with size 32 to match size of target (16)
  1165. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(713): truncated value with size 32 to match size of target (16)
  1166. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(714): truncated value with size 32 to match size of target (16)
  1167. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(715): truncated value with size 32 to match size of target (16)
  1168. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(784): truncated value with size 32 to match size of target (16)
  1169. Info (12128): Elaborating entity "alt_vip_common_sync" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_sync:enable_resync_sync"
  1170. Info (12128): Elaborating entity "alt_vip_common_trigger_sync" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_trigger_sync:mode_change_trigger_sync"
  1171. Info (12128): Elaborating entity "alt_vip_cvo_stream_marker" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_stream_marker:stream_marker"
  1172. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_stream_marker.sv(204): truncated value with size 32 to match size of target (4)
  1173. Info (12128): Elaborating entity "alt_vip_cvo_mode_banks" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_mode_banks:mode_banks"
  1174. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(167): object "lines" assigned a value but never read
  1175. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(168): object "interlaced_field_reg" assigned a value but never read
  1176. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(169): object "reg_address" assigned a value but never read
  1177. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(170): object "reg_write_data" assigned a value but never read
  1178. Warning (10858): Verilog HDL warning at alt_vip_cvo_mode_banks.sv(354): object resp_mode_banks_args_in used but never assigned
  1179. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_mode_banks.sv(1248): truncated value with size 2 to match size of target (1)
  1180. Warning (10030): Net "resp_mode_banks_args_in[0]" at alt_vip_cvo_mode_banks.sv(354) has no driver or initial value, using a default initial value '0'
  1181. Warning (10030): Net "mode_banks_resp_valid" at alt_vip_cvo_mode_banks.sv(356) has no driver or initial value, using a default initial value '0'
  1182. Info (12128): Elaborating entity "alt_vip_cvo_calculate_mode" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_mode_banks:mode_banks|alt_vip_cvo_calculate_mode:u_calculate_mode"
  1183. Info (12128): Elaborating entity "alt_vip_common_generic_step_count" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_generic_step_count:h_counter"
  1184. Info (12128): Elaborating entity "alt_vip_common_generic_step_count" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_generic_step_count:v_counter"
  1185. Info (12128): Elaborating entity "alt_vip_common_fifo" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo"
  1186. Info (12128): Elaborating entity "dcfifo" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo"
  1187. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo"
  1188. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo" with the following parameter:
  1189. Info (12134): Parameter "lpm_hint" = "MAXIMIZE_SPEED=7,"
  1190. Info (12134): Parameter "lpm_numwords" = "1284"
  1191. Info (12134): Parameter "lpm_showahead" = "OFF"
  1192. Info (12134): Parameter "lpm_type" = "dcfifo"
  1193. Info (12134): Parameter "lpm_width" = "25"
  1194. Info (12134): Parameter "lpm_widthu" = "11"
  1195. Info (12134): Parameter "overflow_checking" = "OFF"
  1196. Info (12134): Parameter "rdsync_delaypipe" = "5"
  1197. Info (12134): Parameter "underflow_checking" = "OFF"
  1198. Info (12134): Parameter "use_eab" = "ON"
  1199. Info (12134): Parameter "wrsync_delaypipe" = "5"
  1200. Info (12134): Parameter "read_aclr_synch" = "ON"
  1201. Info (12134): Parameter "lpm_hint" = "MAXIMIZE_SPEED=7,"
  1202. Info (12134): Parameter "lpm_numwords" = "1284"
  1203. Info (12134): Parameter "lpm_showahead" = "OFF"
  1204. Info (12134): Parameter "lpm_type" = "dcfifo"
  1205. Info (12134): Parameter "lpm_width" = "25"
  1206. Info (12134): Parameter "lpm_widthu" = "11"
  1207. Info (12134): Parameter "overflow_checking" = "OFF"
  1208. Info (12134): Parameter "rdsync_delaypipe" = "5"
  1209. Info (12134): Parameter "underflow_checking" = "OFF"
  1210. Info (12134): Parameter "use_eab" = "ON"
  1211. Info (12134): Parameter "wrsync_delaypipe" = "5"
  1212. Info (12134): Parameter "read_aclr_synch" = "ON"
  1213. Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_5uj1.tdf
  1214. Info (12023): Found entity 1: dcfifo_5uj1
  1215. Info (12023): Found entity 1: dcfifo_5uj1
  1216. Info (12128): Elaborating entity "dcfifo_5uj1" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated"
  1217. Info (12021): Found 1 design units, including 1 entities, in source file db/a_gray2bin_usa.tdf
  1218. Info (12023): Found entity 1: a_gray2bin_usa
  1219. Info (12023): Found entity 1: a_gray2bin_usa
  1220. Info (12128): Elaborating entity "a_gray2bin_usa" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|a_gray2bin_usa:rdptr_g_gray2bin"
  1221. Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_th6.tdf
  1222. Info (12023): Found entity 1: a_graycounter_th6
  1223. Info (12023): Found entity 1: a_graycounter_th6
  1224. Info (12128): Elaborating entity "a_graycounter_th6" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|a_graycounter_th6:rdptr_g1p"
  1225. Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_pvb.tdf
  1226. Info (12023): Found entity 1: a_graycounter_pvb
  1227. Info (12023): Found entity 1: a_graycounter_pvb
  1228. Info (12128): Elaborating entity "a_graycounter_pvb" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|a_graycounter_pvb:wrptr_g1p"
  1229. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_0d61.tdf
  1230. Info (12023): Found entity 1: altsyncram_0d61
  1231. Info (12023): Found entity 1: altsyncram_0d61
  1232. Info (12128): Elaborating entity "altsyncram_0d61" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|altsyncram_0d61:fifo_ram"
  1233. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_qe9.tdf
  1234. Info (12023): Found entity 1: dffpipe_qe9
  1235. Info (12023): Found entity 1: dffpipe_qe9
  1236. Info (12128): Elaborating entity "dffpipe_qe9" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|dffpipe_qe9:rs_brp"
  1237. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_apl.tdf
  1238. Info (12023): Found entity 1: alt_synch_pipe_apl
  1239. Info (12023): Found entity 1: alt_synch_pipe_apl
  1240. Info (12128): Elaborating entity "alt_synch_pipe_apl" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_apl:rs_dgwp"
  1241. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_re9.tdf
  1242. Info (12023): Found entity 1: dffpipe_re9
  1243. Info (12023): Found entity 1: dffpipe_re9
  1244. Info (12128): Elaborating entity "dffpipe_re9" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe13"
  1245. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_bpl.tdf
  1246. Info (12023): Found entity 1: alt_synch_pipe_bpl
  1247. Info (12023): Found entity 1: alt_synch_pipe_bpl
  1248. Info (12128): Elaborating entity "alt_synch_pipe_bpl" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_bpl:ws_dgrp"
  1249. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_se9.tdf
  1250. Info (12023): Found entity 1: dffpipe_se9
  1251. Info (12023): Found entity 1: dffpipe_se9
  1252. Info (12128): Elaborating entity "dffpipe_se9" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_bpl:ws_dgrp|dffpipe_se9:dffpipe16"
  1253. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_2h5.tdf
  1254. Info (12023): Found entity 1: cmpr_2h5
  1255. Info (12023): Found entity 1: cmpr_2h5
  1256. Info (12128): Elaborating entity "cmpr_2h5" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|cmpr_2h5:rdempty_eq_comp1_lsb"
  1257. Info (12021): Found 1 design units, including 1 entities, in source file db/mux_9d7.tdf
  1258. Info (12023): Found entity 1: mux_9d7
  1259. Info (12023): Found entity 1: mux_9d7
  1260. Info (12128): Elaborating entity "mux_9d7" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|mux_9d7:rdemp_eq_comp_lsb_mux"
  1261. Info (12128): Elaborating entity "alt_vip_cvo_sync_conditioner" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_sync_conditioner:pixel_channel_sync_conditioner"
  1262. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_conditioner.sv(260): object "start_vsync" assigned a value but never read
  1263. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_conditioner.sv(282): object "vid_h_nxt" assigned a value but never read
  1264. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_conditioner.sv(286): object "uhd_mode" assigned a value but never read
  1265. Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_sync_conditioner.sv(305): truncated value with size 32 to match size of target (16)
  1266. Info (12128): Elaborating entity "alt_vip_cvo_sync_generation" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_sync_conditioner:pixel_channel_sync_conditioner|alt_vip_cvo_sync_generation:gen_cvo_syncs[0].pixel_lane_sync_generator"
  1267. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_generation.sv(174): object "vid_v_sync_pipeline" assigned a value but never read
  1268. Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_generation.sv(193): object "f2_h_front_porch" assigned a value but never read
  1269. Info (12128): Elaborating entity "alt_vip_cvo_statemachine" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_statemachine:statemachine"
  1270. Info (12128): Elaborating entity "i2c_opencores" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi"
  1271. Info (12128): Elaborating entity "i2c_master_top" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi|i2c_master_top:i2c_master_top_inst"
  1272. Info (12128): Elaborating entity "i2c_master_byte_ctrl" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller"
  1273. Info (12128): Elaborating entity "i2c_master_bit_ctrl" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller"
  1274. Info (10264): Verilog HDL Case Statement information at i2c_master_bit_ctrl.v(361): all case item expressions in this case statement are onehot
  1275. Info (12128): Elaborating entity "mipi_vip_jtag_uart" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart"
  1276. Info (12128): Elaborating entity "mipi_vip_jtag_uart_scfifo_w" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w"
  1277. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo"
  1278. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo"
  1279. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo" with the following parameter:
  1280. Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=AUTO"
  1281. Info (12134): Parameter "lpm_numwords" = "64"
  1282. Info (12134): Parameter "lpm_showahead" = "OFF"
  1283. Info (12134): Parameter "lpm_type" = "scfifo"
  1284. Info (12134): Parameter "lpm_width" = "8"
  1285. Info (12134): Parameter "lpm_widthu" = "6"
  1286. Info (12134): Parameter "overflow_checking" = "OFF"
  1287. Info (12134): Parameter "underflow_checking" = "OFF"
  1288. Info (12134): Parameter "use_eab" = "ON"
  1289. Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=AUTO"
  1290. Info (12134): Parameter "lpm_numwords" = "64"
  1291. Info (12134): Parameter "lpm_showahead" = "OFF"
  1292. Info (12134): Parameter "lpm_type" = "scfifo"
  1293. Info (12134): Parameter "lpm_width" = "8"
  1294. Info (12134): Parameter "lpm_widthu" = "6"
  1295. Info (12134): Parameter "overflow_checking" = "OFF"
  1296. Info (12134): Parameter "underflow_checking" = "OFF"
  1297. Info (12134): Parameter "use_eab" = "ON"
  1298. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_9621.tdf
  1299. Info (12023): Found entity 1: scfifo_9621
  1300. Info (12023): Found entity 1: scfifo_9621
  1301. Info (12128): Elaborating entity "scfifo_9621" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated"
  1302. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_bb01.tdf
  1303. Info (12023): Found entity 1: a_dpfifo_bb01
  1304. Info (12023): Found entity 1: a_dpfifo_bb01
  1305. Info (12128): Elaborating entity "a_dpfifo_bb01" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo"
  1306. Info (12021): Found 1 design units, including 1 entities, in source file db/a_fefifo_7cf.tdf
  1307. Info (12023): Found entity 1: a_fefifo_7cf
  1308. Info (12023): Found entity 1: a_fefifo_7cf
  1309. Info (12128): Elaborating entity "a_fefifo_7cf" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|a_fefifo_7cf:fifo_state"
  1310. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_337.tdf
  1311. Info (12023): Found entity 1: cntr_337
  1312. Info (12023): Found entity 1: cntr_337
  1313. Info (12128): Elaborating entity "cntr_337" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|a_fefifo_7cf:fifo_state|cntr_337:count_usedw"
  1314. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dtn1.tdf
  1315. Info (12023): Found entity 1: altsyncram_dtn1
  1316. Info (12023): Found entity 1: altsyncram_dtn1
  1317. Info (12128): Elaborating entity "altsyncram_dtn1" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|altsyncram_dtn1:FIFOram"
  1318. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_n2b.tdf
  1319. Info (12023): Found entity 1: cntr_n2b
  1320. Info (12023): Found entity 1: cntr_n2b
  1321. Info (12128): Elaborating entity "cntr_n2b" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|cntr_n2b:rd_ptr_count"
  1322. Info (12128): Elaborating entity "mipi_vip_jtag_uart_scfifo_r" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_r:the_mipi_vip_jtag_uart_scfifo_r"
  1323. Info (12128): Elaborating entity "alt_jtag_atlantic" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
  1324. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
  1325. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic" with the following parameter:
  1326. Info (12134): Parameter "INSTANCE_ID" = "0"
  1327. Info (12134): Parameter "LOG2_RXFIFO_DEPTH" = "6"
  1328. Info (12134): Parameter "LOG2_TXFIFO_DEPTH" = "6"
  1329. Info (12134): Parameter "SLD_AUTO_INSTANCE_INDEX" = "YES"
  1330. Info (12134): Parameter "INSTANCE_ID" = "0"
  1331. Info (12134): Parameter "LOG2_RXFIFO_DEPTH" = "6"
  1332. Info (12134): Parameter "LOG2_TXFIFO_DEPTH" = "6"
  1333. Info (12134): Parameter "SLD_AUTO_INSTANCE_INDEX" = "YES"
  1334. Info (12128): Elaborating entity "altera_sld_agent_endpoint" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst"
  1335. Info (12131): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst", which is child of megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
  1336. Info (12128): Elaborating entity "altera_fabric_endpoint" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst|altera_fabric_endpoint:ep"
  1337. Info (12131): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst|altera_fabric_endpoint:ep", which is child of megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
  1338. Info (12128): Elaborating entity "mipi_vip_led" for hierarchy "mipi_vip:u0|mipi_vip_led:led"
  1339. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif"
  1340. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_pll0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0"
  1341. Info (12128): Elaborating entity "altpll" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy"
  1342. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy"
  1343. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy" with the following parameter:
  1344. Info (12134): Parameter "bandwidth_type" = "AUTO"
  1345. Info (12134): Parameter "clk4_divide_by" = "1"
  1346. Info (12134): Parameter "clk4_duty_cycle" = "50"
  1347. Info (12134): Parameter "clk4_multiply_by" = "3"
  1348. Info (12134): Parameter "clk4_phase_shift" = "0"
  1349. Info (12134): Parameter "clk0_divide_by" = "1"
  1350. Info (12134): Parameter "clk0_duty_cycle" = "50"
  1351. Info (12134): Parameter "clk0_multiply_by" = "6"
  1352. Info (12134): Parameter "clk0_phase_shift" = "0"
  1353. Info (12134): Parameter "clk1_divide_by" = "1"
  1354. Info (12134): Parameter "clk1_duty_cycle" = "50"
  1355. Info (12134): Parameter "clk1_multiply_by" = "6"
  1356. Info (12134): Parameter "clk1_phase_shift" = "2500"
  1357. Info (12134): Parameter "clk2_divide_by" = "1"
  1358. Info (12134): Parameter "clk2_duty_cycle" = "50"
  1359. Info (12134): Parameter "clk2_multiply_by" = "6"
  1360. Info (12134): Parameter "clk2_phase_shift" = "0"
  1361. Info (12134): Parameter "clk3_divide_by" = "1"
  1362. Info (12134): Parameter "clk3_duty_cycle" = "50"
  1363. Info (12134): Parameter "clk3_multiply_by" = "6"
  1364. Info (12134): Parameter "clk3_phase_shift" = "2500"
  1365. Info (12134): Parameter "compensate_clock" = "CLK1"
  1366. Info (12134): Parameter "inclk0_input_frequency" = "20000"
  1367. Info (12134): Parameter "intended_device_family" = "MAX 10"
  1368. Info (12134): Parameter "lpm_type" = "altpll"
  1369. Info (12134): Parameter "operation_mode" = "NORMAL"
  1370. Info (12134): Parameter "pll_type" = "AUTO"
  1371. Info (12134): Parameter "port_activeclock" = "PORT_UNUSED"
  1372. Info (12134): Parameter "port_areset" = "PORT_USED"
  1373. Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED"
  1374. Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED"
  1375. Info (12134): Parameter "port_clkloss" = "PORT_UNUSED"
  1376. Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED"
  1377. Info (12134): Parameter "port_configupdate" = "PORT_UNUSED"
  1378. Info (12134): Parameter "port_fbin" = "PORT_UNUSED"
  1379. Info (12134): Parameter "port_inclk0" = "PORT_USED"
  1380. Info (12134): Parameter "port_inclk1" = "PORT_UNUSED"
  1381. Info (12134): Parameter "port_locked" = "PORT_USED"
  1382. Info (12134): Parameter "port_pfdena" = "PORT_UNUSED"
  1383. Info (12134): Parameter "port_phasecounterselect" = "PORT_USED"
  1384. Info (12134): Parameter "port_phasedone" = "PORT_USED"
  1385. Info (12134): Parameter "port_phasestep" = "PORT_USED"
  1386. Info (12134): Parameter "port_phaseupdown" = "PORT_USED"
  1387. Info (12134): Parameter "port_pllena" = "PORT_UNUSED"
  1388. Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED"
  1389. Info (12134): Parameter "port_scanclk" = "PORT_USED"
  1390. Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED"
  1391. Info (12134): Parameter "port_scandata" = "PORT_UNUSED"
  1392. Info (12134): Parameter "port_scandataout" = "PORT_UNUSED"
  1393. Info (12134): Parameter "port_scandone" = "PORT_UNUSED"
  1394. Info (12134): Parameter "port_scanread" = "PORT_UNUSED"
  1395. Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED"
  1396. Info (12134): Parameter "port_clk0" = "PORT_USED"
  1397. Info (12134): Parameter "port_clk1" = "PORT_USED"
  1398. Info (12134): Parameter "port_clk2" = "PORT_USED"
  1399. Info (12134): Parameter "port_clk3" = "PORT_USED"
  1400. Info (12134): Parameter "port_clk4" = "PORT_USED"
  1401. Info (12134): Parameter "port_clk5" = "PORT_UNUSED"
  1402. Info (12134): Parameter "port_clkena0" = "PORT_UNUSED"
  1403. Info (12134): Parameter "port_clkena1" = "PORT_UNUSED"
  1404. Info (12134): Parameter "port_clkena2" = "PORT_UNUSED"
  1405. Info (12134): Parameter "port_clkena3" = "PORT_UNUSED"
  1406. Info (12134): Parameter "port_clkena4" = "PORT_UNUSED"
  1407. Info (12134): Parameter "port_clkena5" = "PORT_UNUSED"
  1408. Info (12134): Parameter "port_extclk0" = "PORT_UNUSED"
  1409. Info (12134): Parameter "port_extclk1" = "PORT_UNUSED"
  1410. Info (12134): Parameter "port_extclk2" = "PORT_UNUSED"
  1411. Info (12134): Parameter "port_extclk3" = "PORT_UNUSED"
  1412. Info (12134): Parameter "self_reset_on_loss_lock" = "OFF"
  1413. Info (12134): Parameter "vco_frequency_control" = "MANUAL_PHASE"
  1414. Info (12134): Parameter "vco_phase_shift_step" = "104"
  1415. Info (12134): Parameter "width_clock" = "5"
  1416. Info (12134): Parameter "width_phasecounterselect" = "3"
  1417. Info (12134): Parameter "bandwidth_type" = "AUTO"
  1418. Info (12134): Parameter "clk4_divide_by" = "1"
  1419. Info (12134): Parameter "clk4_duty_cycle" = "50"
  1420. Info (12134): Parameter "clk4_multiply_by" = "3"
  1421. Info (12134): Parameter "clk4_phase_shift" = "0"
  1422. Info (12134): Parameter "clk0_divide_by" = "1"
  1423. Info (12134): Parameter "clk0_duty_cycle" = "50"
  1424. Info (12134): Parameter "clk0_multiply_by" = "6"
  1425. Info (12134): Parameter "clk0_phase_shift" = "0"
  1426. Info (12134): Parameter "clk1_divide_by" = "1"
  1427. Info (12134): Parameter "clk1_duty_cycle" = "50"
  1428. Info (12134): Parameter "clk1_multiply_by" = "6"
  1429. Info (12134): Parameter "clk1_phase_shift" = "2500"
  1430. Info (12134): Parameter "clk2_divide_by" = "1"
  1431. Info (12134): Parameter "clk2_duty_cycle" = "50"
  1432. Info (12134): Parameter "clk2_multiply_by" = "6"
  1433. Info (12134): Parameter "clk2_phase_shift" = "0"
  1434. Info (12134): Parameter "clk3_divide_by" = "1"
  1435. Info (12134): Parameter "clk3_duty_cycle" = "50"
  1436. Info (12134): Parameter "clk3_multiply_by" = "6"
  1437. Info (12134): Parameter "clk3_phase_shift" = "2500"
  1438. Info (12134): Parameter "compensate_clock" = "CLK1"
  1439. Info (12134): Parameter "inclk0_input_frequency" = "20000"
  1440. Info (12134): Parameter "intended_device_family" = "MAX 10"
  1441. Info (12134): Parameter "lpm_type" = "altpll"
  1442. Info (12134): Parameter "operation_mode" = "NORMAL"
  1443. Info (12134): Parameter "pll_type" = "AUTO"
  1444. Info (12134): Parameter "port_activeclock" = "PORT_UNUSED"
  1445. Info (12134): Parameter "port_areset" = "PORT_USED"
  1446. Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED"
  1447. Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED"
  1448. Info (12134): Parameter "port_clkloss" = "PORT_UNUSED"
  1449. Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED"
  1450. Info (12134): Parameter "port_configupdate" = "PORT_UNUSED"
  1451. Info (12134): Parameter "port_fbin" = "PORT_UNUSED"
  1452. Info (12134): Parameter "port_inclk0" = "PORT_USED"
  1453. Info (12134): Parameter "port_inclk1" = "PORT_UNUSED"
  1454. Info (12134): Parameter "port_locked" = "PORT_USED"
  1455. Info (12134): Parameter "port_pfdena" = "PORT_UNUSED"
  1456. Info (12134): Parameter "port_phasecounterselect" = "PORT_USED"
  1457. Info (12134): Parameter "port_phasedone" = "PORT_USED"
  1458. Info (12134): Parameter "port_phasestep" = "PORT_USED"
  1459. Info (12134): Parameter "port_phaseupdown" = "PORT_USED"
  1460. Info (12134): Parameter "port_pllena" = "PORT_UNUSED"
  1461. Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED"
  1462. Info (12134): Parameter "port_scanclk" = "PORT_USED"
  1463. Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED"
  1464. Info (12134): Parameter "port_scandata" = "PORT_UNUSED"
  1465. Info (12134): Parameter "port_scandataout" = "PORT_UNUSED"
  1466. Info (12134): Parameter "port_scandone" = "PORT_UNUSED"
  1467. Info (12134): Parameter "port_scanread" = "PORT_UNUSED"
  1468. Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED"
  1469. Info (12134): Parameter "port_clk0" = "PORT_USED"
  1470. Info (12134): Parameter "port_clk1" = "PORT_USED"
  1471. Info (12134): Parameter "port_clk2" = "PORT_USED"
  1472. Info (12134): Parameter "port_clk3" = "PORT_USED"
  1473. Info (12134): Parameter "port_clk4" = "PORT_USED"
  1474. Info (12134): Parameter "port_clk5" = "PORT_UNUSED"
  1475. Info (12134): Parameter "port_clkena0" = "PORT_UNUSED"
  1476. Info (12134): Parameter "port_clkena1" = "PORT_UNUSED"
  1477. Info (12134): Parameter "port_clkena2" = "PORT_UNUSED"
  1478. Info (12134): Parameter "port_clkena3" = "PORT_UNUSED"
  1479. Info (12134): Parameter "port_clkena4" = "PORT_UNUSED"
  1480. Info (12134): Parameter "port_clkena5" = "PORT_UNUSED"
  1481. Info (12134): Parameter "port_extclk0" = "PORT_UNUSED"
  1482. Info (12134): Parameter "port_extclk1" = "PORT_UNUSED"
  1483. Info (12134): Parameter "port_extclk2" = "PORT_UNUSED"
  1484. Info (12134): Parameter "port_extclk3" = "PORT_UNUSED"
  1485. Info (12134): Parameter "self_reset_on_loss_lock" = "OFF"
  1486. Info (12134): Parameter "vco_frequency_control" = "MANUAL_PHASE"
  1487. Info (12134): Parameter "vco_phase_shift_step" = "104"
  1488. Info (12134): Parameter "width_clock" = "5"
  1489. Info (12134): Parameter "width_phasecounterselect" = "3"
  1490. Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_t0j3.tdf
  1491. Info (12023): Found entity 1: altpll_t0j3
  1492. Info (12023): Found entity 1: altpll_t0j3
  1493. Info (12128): Elaborating entity "altpll_t0j3" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated"
  1494. Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_dyn_phase_le_qrn.tdf
  1495. Info (12023): Found entity 1: altpll_dyn_phase_le_qrn
  1496. Info (12023): Found entity 1: altpll_dyn_phase_le_qrn
  1497. Info (12128): Elaborating entity "altpll_dyn_phase_le_qrn" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|altpll_dyn_phase_le_qrn:altpll_dyn_phase_le2"
  1498. Warning (287013): Variable or input pin "datad" is defined but never used.
  1499. Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_dyn_phase_le_rrn.tdf
  1500. Info (12023): Found entity 1: altpll_dyn_phase_le_rrn
  1501. Info (12023): Found entity 1: altpll_dyn_phase_le_rrn
  1502. Info (12128): Elaborating entity "altpll_dyn_phase_le_rrn" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|altpll_dyn_phase_le_rrn:altpll_dyn_phase_le4"
  1503. Warning (287013): Variable or input pin "datad" is defined but never used.
  1504. Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_dyn_phase_le_srn.tdf
  1505. Info (12023): Found entity 1: altpll_dyn_phase_le_srn
  1506. Info (12023): Found entity 1: altpll_dyn_phase_le_srn
  1507. Info (12128): Elaborating entity "altpll_dyn_phase_le_srn" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|altpll_dyn_phase_le_srn:altpll_dyn_phase_le5"
  1508. Warning (287013): Variable or input pin "datad" is defined but never used.
  1509. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_ocd.tdf
  1510. Info (12023): Found entity 1: cntr_ocd
  1511. Info (12023): Found entity 1: cntr_ocd
  1512. Info (12128): Elaborating entity "cntr_ocd" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_ocd:phasestep_counter"
  1513. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_erb.tdf
  1514. Info (12023): Found entity 1: cmpr_erb
  1515. Info (12023): Found entity 1: cmpr_erb
  1516. Info (12128): Elaborating entity "cmpr_erb" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_ocd:phasestep_counter|cmpr_erb:cmpr12"
  1517. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_uqd.tdf
  1518. Info (12023): Found entity 1: cntr_uqd
  1519. Info (12023): Found entity 1: cntr_uqd
  1520. Info (12128): Elaborating entity "cntr_uqd" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_uqd:pll_internal_phasestep"
  1521. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_frb.tdf
  1522. Info (12023): Found entity 1: cmpr_frb
  1523. Info (12023): Found entity 1: cmpr_frb
  1524. Info (12128): Elaborating entity "cmpr_frb" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_uqd:pll_internal_phasestep|cmpr_frb:cmpr14"
  1525. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0"
  1526. Warning (10036): Verilog HDL or VHDL warning at mipi_vip_mem_if_ddr3_emif_p0.sv(310): object "pll_dqs_ena_clk" assigned a value but never read
  1527. Info (10648): Verilog HDL Display System Task info at mipi_vip_mem_if_ddr3_emif_p0.sv(346): Using Regular core emif simulation models
  1528. Warning (10034): Output port "phy_read_fifo_q" at mipi_vip_mem_if_ddr3_emif_p0.sv(338) has no driver
  1529. Warning (10034): Output port "dll_pll_locked" at mipi_vip_mem_if_ddr3_emif_p0.sv(236) has no driver
  1530. Warning (10034): Output port "dll_clk" at mipi_vip_mem_if_ddr3_emif_p0.sv(237) has no driver
  1531. Warning (10034): Output port "addr_cmd_clk" at mipi_vip_mem_if_ddr3_emif_p0.sv(314) has no driver
  1532. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_memphy_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy"
  1533. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset"
  1534. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_sync" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset|mipi_vip_mem_if_ddr3_emif_p0_reset_sync:ureset_afi_clk"
  1535. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_sync" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset|mipi_vip_mem_if_ddr3_emif_p0_reset_sync:ureset_ctl_reset_clk"
  1536. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_sync" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset|mipi_vip_mem_if_ddr3_emif_p0_reset_sync:ureset_resync_clk"
  1537. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath"
  1538. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_address"
  1539. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_bank"
  1540. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_cke"
  1541. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_cs_n"
  1542. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath"
  1543. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:bs_wr_grp[0].dq_shifter"
  1544. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:bs_wr_grp[0].wrdata_mask_shifter"
  1545. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:bs_wr_grp[0].wrdata_en_shifter"
  1546. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10:uread_datapath"
  1547. Warning (10230): Verilog HDL assignment warning at mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10.sv(184): truncated value with size 4 to match size of target (2)
  1548. Info (12128): Elaborating entity "max10emif_dcfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10:uread_datapath|max10emif_dcfifo:rdata_fifo"
  1549. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads"
  1550. Info (12128): Elaborating entity "addr_cmd_pad_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad"
  1551. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad|mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10:hr_to_fr_lo"
  1552. Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad|altera_gpio_lite:uadc_pad"
  1553. Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad|altera_gpio_lite:uadc_pad|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
  1554. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(82): object "nsleep_in" assigned a value but never read
  1555. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(334): object "oe_outclocken_wire" assigned a value but never read
  1556. Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|altera_gpio_lite:clock_gen[0].umem_ck_pad"
  1557. Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|altera_gpio_lite:clock_gen[0].umem_ck_pad|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
  1558. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(82): object "nsleep_in" assigned a value but never read
  1559. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(334): object "oe_outclocken_wire" assigned a value but never read
  1560. Warning (10858): Verilog HDL warning at altera_gpio_lite.sv(525): object ddr_input used but never assigned
  1561. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(526): object "inclock_wire" assigned a value but never read
  1562. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(540): object "inclocken_wire" assigned a value but never read
  1563. Warning (10030): Net "ddr_input" at altera_gpio_lite.sv(525) has no driver or initial value, using a default initial value '0'
  1564. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs"
  1565. Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dq_ddio_io"
  1566. Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dq_ddio_io|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
  1567. Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dqs_ddio_io"
  1568. Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dqs_ddio_io|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
  1569. Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:extra_ddio_out"
  1570. Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:extra_ddio_out|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
  1571. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(82): object "nsleep_in" assigned a value but never read
  1572. Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(334): object "oe_outclocken_wire" assigned a value but never read
  1573. Info (12128): Elaborating entity "afi_mux_ddr3_ddrx" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|afi_mux_ddr3_ddrx:m0"
  1574. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0"
  1575. Info (12128): Elaborating entity "altera_mem_if_sequencer_rst" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|altera_mem_if_sequencer_rst:sequencer_rst"
  1576. Info (12128): Elaborating entity "sequencer_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|sequencer_m10:cpu_inst"
  1577. Warning (10036): Verilog HDL or VHDL warning at sequencer_m10.sv(122): object "latency" assigned a value but never read
  1578. Warning (10036): Verilog HDL or VHDL warning at sequencer_m10.sv(128): object "start_ddr2_init" assigned a value but never read
  1579. Warning (10036): Verilog HDL or VHDL warning at sequencer_m10.sv(130): object "start_lpddr2_init" assigned a value but never read
  1580. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(464): truncated value with size 32 to match size of target (20)
  1581. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(483): truncated value with size 32 to match size of target (20)
  1582. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(246): truncated value with size 32 to match size of target (20)
  1583. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(501): truncated value with size 32 to match size of target (20)
  1584. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(528): truncated value with size 32 to match size of target (20)
  1585. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(904): truncated value with size 32 to match size of target (20)
  1586. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(985): truncated value with size 32 to match size of target (20)
  1587. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(1153): truncated value with size 32 to match size of target (20)
  1588. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(1171): truncated value with size 32 to match size of target (20)
  1589. Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(1127): truncated value with size 32 to match size of target (20)
  1590. Info (12128): Elaborating entity "sequencer_pll_mgr" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|sequencer_pll_mgr:sequencer_pll_mgr_inst"
  1591. Info (12128): Elaborating entity "sequencer_phy_mgr" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|sequencer_phy_mgr:sequencer_phy_mgr_inst"
  1592. Info (12128): Elaborating entity "rw_manager_ddr3" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst"
  1593. Info (12128): Elaborating entity "rw_manager_generic" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst"
  1594. Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(155): object "jump_ptr_0_reg" assigned a value but never read
  1595. Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(156): object "jump_ptr_1_reg" assigned a value but never read
  1596. Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(157): object "jump_cntr_0_reg" assigned a value but never read
  1597. Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(158): object "jump_cntr_1_reg" assigned a value but never read
  1598. Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(159): object "cs_mask_reg" assigned a value but never read
  1599. Warning (10858): Verilog HDL warning at rw_manager_generic.sv(163): object all_refresh_done used but never assigned
  1600. Warning (10858): Verilog HDL warning at rw_manager_generic.sv(164): object restore_done used but never assigned
  1601. Warning (10030): Net "all_refresh_done" at rw_manager_generic.sv(163) has no driver or initial value, using a default initial value '0'
  1602. Warning (10030): Net "restore_done" at rw_manager_generic.sv(164) has no driver or initial value, using a default initial value '0'
  1603. Info (12128): Elaborating entity "rw_manager_core" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst"
  1604. Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(192): object "inst_ROM_wrdata" assigned a value but never read
  1605. Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(193): object "inst_ROM_wraddress" assigned a value but never read
  1606. Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(194): object "ac_ROM_wrdata_to_rom" assigned a value but never read
  1607. Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(196): object "ac_ROM_wraddress" assigned a value but never read
  1608. Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(323): object "inst_ROM_wren" assigned a value but never read
  1609. Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(324): object "ac_ROM_wren" assigned a value but never read
  1610. Info (12128): Elaborating entity "rw_manager_m10_inst_ROM" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_m10_inst_ROM:inst_ROM_i"
  1611. Info (12128): Elaborating entity "rw_manager_m10_ac_ROM" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_m10_ac_ROM:ac_ROM_i"
  1612. Info (12128): Elaborating entity "rw_manager_di_buffer_wrap" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i"
  1613. Info (12128): Elaborating entity "rw_manager_di_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i"
  1614. Warning (272007): Device family MAX10 does not have MLAB blocks -- using available memory blocks
  1615. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component"
  1616. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component"
  1617. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component" with the following parameter:
  1618. Info (12134): Parameter "address_aclr_b" = "NONE"
  1619. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1620. Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
  1621. Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
  1622. Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
  1623. Info (12134): Parameter "intended_device_family" = "Stratix III"
  1624. Info (12134): Parameter "lpm_type" = "altsyncram"
  1625. Info (12134): Parameter "numwords_a" = "4"
  1626. Info (12134): Parameter "numwords_b" = "4"
  1627. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1628. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1629. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1630. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1631. Info (12134): Parameter "ram_block_type" = "MLAB"
  1632. Info (12134): Parameter "widthad_a" = "2"
  1633. Info (12134): Parameter "widthad_b" = "2"
  1634. Info (12134): Parameter "width_a" = "32"
  1635. Info (12134): Parameter "width_b" = "32"
  1636. Info (12134): Parameter "width_byteena_a" = "1"
  1637. Info (12134): Parameter "address_aclr_b" = "NONE"
  1638. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1639. Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
  1640. Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
  1641. Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
  1642. Info (12134): Parameter "intended_device_family" = "Stratix III"
  1643. Info (12134): Parameter "lpm_type" = "altsyncram"
  1644. Info (12134): Parameter "numwords_a" = "4"
  1645. Info (12134): Parameter "numwords_b" = "4"
  1646. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1647. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1648. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1649. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1650. Info (12134): Parameter "ram_block_type" = "MLAB"
  1651. Info (12134): Parameter "widthad_a" = "2"
  1652. Info (12134): Parameter "widthad_b" = "2"
  1653. Info (12134): Parameter "width_a" = "32"
  1654. Info (12134): Parameter "width_b" = "32"
  1655. Info (12134): Parameter "width_byteena_a" = "1"
  1656. Warning (287001): Assertion warning: Device family MAX10 does not have MLAB blocks -- using available memory blocks
  1657. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_uok1.tdf
  1658. Info (12023): Found entity 1: altsyncram_uok1
  1659. Info (12023): Found entity 1: altsyncram_uok1
  1660. Info (12128): Elaborating entity "altsyncram_uok1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component|altsyncram_uok1:auto_generated"
  1661. Info (12128): Elaborating entity "rw_manager_write_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i"
  1662. Info (12128): Elaborating entity "rw_manager_data_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_data_decoder:DO_decoder"
  1663. Info (12128): Elaborating entity "rw_manager_dm_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_dm_decoder:DM_decoder_i"
  1664. Info (12128): Elaborating entity "rw_manager_lfsr36" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_lfsr36:do_lfsr_i"
  1665. Info (12128): Elaborating entity "rw_manager_lfsr12" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_lfsr12:dm_lfsr_i"
  1666. Info (12128): Elaborating entity "rw_manager_read_datapath" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i"
  1667. Info (12128): Elaborating entity "rw_manager_bitcheck" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_bitcheck:bitcheck_i"
  1668. Info (12128): Elaborating entity "rw_manager_pattern_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i"
  1669. Warning (272007): Device family MAX10 does not have MLAB blocks -- using available memory blocks
  1670. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component"
  1671. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component"
  1672. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component" with the following parameter:
  1673. Info (12134): Parameter "address_aclr_b" = "NONE"
  1674. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1675. Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
  1676. Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
  1677. Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
  1678. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1679. Info (12134): Parameter "lpm_type" = "altsyncram"
  1680. Info (12134): Parameter "numwords_a" = "32"
  1681. Info (12134): Parameter "numwords_b" = "32"
  1682. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1683. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1684. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1685. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1686. Info (12134): Parameter "ram_block_type" = "MLAB"
  1687. Info (12134): Parameter "widthad_a" = "5"
  1688. Info (12134): Parameter "widthad_b" = "5"
  1689. Info (12134): Parameter "width_a" = "9"
  1690. Info (12134): Parameter "width_b" = "9"
  1691. Info (12134): Parameter "width_byteena_a" = "1"
  1692. Info (12134): Parameter "address_aclr_b" = "NONE"
  1693. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1694. Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
  1695. Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
  1696. Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
  1697. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1698. Info (12134): Parameter "lpm_type" = "altsyncram"
  1699. Info (12134): Parameter "numwords_a" = "32"
  1700. Info (12134): Parameter "numwords_b" = "32"
  1701. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1702. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1703. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1704. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1705. Info (12134): Parameter "ram_block_type" = "MLAB"
  1706. Info (12134): Parameter "widthad_a" = "5"
  1707. Info (12134): Parameter "widthad_b" = "5"
  1708. Info (12134): Parameter "width_a" = "9"
  1709. Info (12134): Parameter "width_b" = "9"
  1710. Info (12134): Parameter "width_byteena_a" = "1"
  1711. Warning (287001): Assertion warning: Device family MAX10 does not have MLAB blocks -- using available memory blocks
  1712. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_epk1.tdf
  1713. Info (12023): Found entity 1: altsyncram_epk1
  1714. Info (12023): Found entity 1: altsyncram_epk1
  1715. Info (12128): Elaborating entity "altsyncram_epk1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component|altsyncram_epk1:auto_generated"
  1716. Info (12128): Elaborating entity "rw_manager_data_broadcast" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_data_broadcast:data_broadcast_i"
  1717. Info (12128): Elaborating entity "rw_manager_jumplogic" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_jumplogic:jumplogic_i"
  1718. Info (12128): Elaborating entity "rw_manager_datamux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_datamux:mux_iter[0].datamux_i"
  1719. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0"
  1720. Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:cpu_inst_data_master_translator"
  1721. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:sequencer_phy_mgr_inst_avl_translator"
  1722. Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:cpu_inst_data_master_agent"
  1723. Info (12128): Elaborating entity "altera_merlin_slave_agent" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:sequencer_phy_mgr_inst_avl_agent"
  1724. Info (12128): Elaborating entity "altera_merlin_burst_uncompressor" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:sequencer_phy_mgr_inst_avl_agent|altera_merlin_burst_uncompressor:uncompressor"
  1725. Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:sequencer_phy_mgr_inst_avl_agent_rsp_fifo"
  1726. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router:router"
  1727. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router:router|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode:the_default_decode"
  1728. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001:router_001"
  1729. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001:router_001|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode:the_default_decode"
  1730. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux:cmd_demux"
  1731. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux:cmd_mux"
  1732. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux:rsp_demux"
  1733. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux:rsp_mux"
  1734. Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb"
  1735. Info (12128): Elaborating entity "altera_merlin_arb_adder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder"
  1736. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter"
  1737. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0"
  1738. Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_c0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0"
  1739. Info (12128): Elaborating entity "alt_mem_if_nextgen_ddr3_controller_core" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0"
  1740. Info (12128): Elaborating entity "alt_mem_ddrx_controller_st_top" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst"
  1741. Info (12128): Elaborating entity "alt_mem_ddrx_controller" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst"
  1742. Warning (10230): Verilog HDL assignment warning at alt_mem_ddrx_controller.v(1023): truncated value with size 32 to match size of target (4)
  1743. Warning (10230): Verilog HDL assignment warning at alt_mem_ddrx_controller.v(1024): truncated value with size 32 to match size of target (4)
  1744. Info (12128): Elaborating entity "alt_mem_ddrx_input_if" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_input_if:input_if_inst"
  1745. Info (12128): Elaborating entity "alt_mem_ddrx_cmd_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst"
  1746. Info (12128): Elaborating entity "alt_mem_ddrx_tbp" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst"
  1747. Info (12128): Elaborating entity "alt_mem_ddrx_arbiter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst"
  1748. Info (12128): Elaborating entity "alt_mem_ddrx_burst_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst"
  1749. Info (12128): Elaborating entity "alt_mem_ddrx_addr_cmd_wrap" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst"
  1750. Info (12128): Elaborating entity "alt_mem_ddrx_addr_cmd" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst"
  1751. Info (12128): Elaborating entity "alt_mem_ddrx_odt_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_odt_gen:gen_bg_afi_signal_decode[0].odt_gen_inst"
  1752. Info (12128): Elaborating entity "alt_mem_ddrx_ddr2_odt_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_odt_gen:gen_bg_afi_signal_decode[0].odt_gen_inst|alt_mem_ddrx_ddr2_odt_gen:ddr2_odt_gen[0].alt_mem_ddrx_ddr2_odt_gen_inst"
  1753. Info (12128): Elaborating entity "alt_mem_ddrx_ddr3_odt_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_odt_gen:gen_bg_afi_signal_decode[0].odt_gen_inst|alt_mem_ddrx_ddr3_odt_gen:ddr3_odt_gen[0].alt_mem_ddrx_ddr3_odt_gen_inst"
  1754. Info (12128): Elaborating entity "alt_mem_ddrx_rdwr_data_tmg" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst"
  1755. Info (12128): Elaborating entity "alt_mem_ddrx_wdata_path" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst"
  1756. Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst"
  1757. Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst"
  1758. Info (12128): Elaborating entity "alt_mem_ddrx_burst_tracking" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst"
  1759. Info (12128): Elaborating entity "alt_mem_ddrx_dataid_manager" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst"
  1760. Info (12128): Elaborating entity "alt_mem_ddrx_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst"
  1761. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component"
  1762. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component"
  1763. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component" with the following parameter:
  1764. Info (12134): Parameter "address_aclr_a" = "NONE"
  1765. Info (12134): Parameter "address_aclr_b" = "NONE"
  1766. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1767. Info (12134): Parameter "indata_aclr_a" = "NONE"
  1768. Info (12134): Parameter "intended_device_family" = "Stratix"
  1769. Info (12134): Parameter "lpm_type" = "altsyncram"
  1770. Info (12134): Parameter "numwords_a" = "64"
  1771. Info (12134): Parameter "numwords_b" = "64"
  1772. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1773. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1774. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1775. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1776. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  1777. Info (12134): Parameter "widthad_a" = "6"
  1778. Info (12134): Parameter "widthad_b" = "6"
  1779. Info (12134): Parameter "width_a" = "16"
  1780. Info (12134): Parameter "width_b" = "16"
  1781. Info (12134): Parameter "width_byteena_a" = "1"
  1782. Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
  1783. Info (12134): Parameter "address_aclr_a" = "NONE"
  1784. Info (12134): Parameter "address_aclr_b" = "NONE"
  1785. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1786. Info (12134): Parameter "indata_aclr_a" = "NONE"
  1787. Info (12134): Parameter "intended_device_family" = "Stratix"
  1788. Info (12134): Parameter "lpm_type" = "altsyncram"
  1789. Info (12134): Parameter "numwords_a" = "64"
  1790. Info (12134): Parameter "numwords_b" = "64"
  1791. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1792. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1793. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1794. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1795. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  1796. Info (12134): Parameter "widthad_a" = "6"
  1797. Info (12134): Parameter "widthad_b" = "6"
  1798. Info (12134): Parameter "width_a" = "16"
  1799. Info (12134): Parameter "width_b" = "16"
  1800. Info (12134): Parameter "width_byteena_a" = "1"
  1801. Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
  1802. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_l0l1.tdf
  1803. Info (12023): Found entity 1: altsyncram_l0l1
  1804. Info (12023): Found entity 1: altsyncram_l0l1
  1805. Info (12128): Elaborating entity "altsyncram_l0l1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_l0l1:auto_generated"
  1806. Info (12128): Elaborating entity "alt_mem_ddrx_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst"
  1807. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component"
  1808. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component"
  1809. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component" with the following parameter:
  1810. Info (12134): Parameter "address_aclr_a" = "NONE"
  1811. Info (12134): Parameter "address_aclr_b" = "NONE"
  1812. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1813. Info (12134): Parameter "indata_aclr_a" = "NONE"
  1814. Info (12134): Parameter "intended_device_family" = "Stratix"
  1815. Info (12134): Parameter "lpm_type" = "altsyncram"
  1816. Info (12134): Parameter "numwords_a" = "64"
  1817. Info (12134): Parameter "numwords_b" = "64"
  1818. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1819. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1820. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1821. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1822. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  1823. Info (12134): Parameter "widthad_a" = "6"
  1824. Info (12134): Parameter "widthad_b" = "6"
  1825. Info (12134): Parameter "width_a" = "2"
  1826. Info (12134): Parameter "width_b" = "2"
  1827. Info (12134): Parameter "width_byteena_a" = "1"
  1828. Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
  1829. Info (12134): Parameter "address_aclr_a" = "NONE"
  1830. Info (12134): Parameter "address_aclr_b" = "NONE"
  1831. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  1832. Info (12134): Parameter "indata_aclr_a" = "NONE"
  1833. Info (12134): Parameter "intended_device_family" = "Stratix"
  1834. Info (12134): Parameter "lpm_type" = "altsyncram"
  1835. Info (12134): Parameter "numwords_a" = "64"
  1836. Info (12134): Parameter "numwords_b" = "64"
  1837. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  1838. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  1839. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  1840. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  1841. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  1842. Info (12134): Parameter "widthad_a" = "6"
  1843. Info (12134): Parameter "widthad_b" = "6"
  1844. Info (12134): Parameter "width_a" = "2"
  1845. Info (12134): Parameter "width_b" = "2"
  1846. Info (12134): Parameter "width_byteena_a" = "1"
  1847. Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
  1848. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_btk1.tdf
  1849. Info (12023): Found entity 1: altsyncram_btk1
  1850. Info (12023): Found entity 1: altsyncram_btk1
  1851. Info (12128): Elaborating entity "altsyncram_btk1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_btk1:auto_generated"
  1852. Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst"
  1853. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
  1854. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
  1855. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
  1856. Info (12134): Parameter "add_ram_output_register" = "ON"
  1857. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1858. Info (12134): Parameter "lpm_numwords" = "4"
  1859. Info (12134): Parameter "lpm_showahead" = "ON"
  1860. Info (12134): Parameter "lpm_type" = "scfifo"
  1861. Info (12134): Parameter "lpm_width" = "100"
  1862. Info (12134): Parameter "lpm_widthu" = "2"
  1863. Info (12134): Parameter "overflow_checking" = "OFF"
  1864. Info (12134): Parameter "underflow_checking" = "OFF"
  1865. Info (12134): Parameter "use_eab" = "ON"
  1866. Info (12134): Parameter "add_ram_output_register" = "ON"
  1867. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1868. Info (12134): Parameter "lpm_numwords" = "4"
  1869. Info (12134): Parameter "lpm_showahead" = "ON"
  1870. Info (12134): Parameter "lpm_type" = "scfifo"
  1871. Info (12134): Parameter "lpm_width" = "100"
  1872. Info (12134): Parameter "lpm_widthu" = "2"
  1873. Info (12134): Parameter "overflow_checking" = "OFF"
  1874. Info (12134): Parameter "underflow_checking" = "OFF"
  1875. Info (12134): Parameter "use_eab" = "ON"
  1876. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_2k31.tdf
  1877. Info (12023): Found entity 1: scfifo_2k31
  1878. Info (12023): Found entity 1: scfifo_2k31
  1879. Info (12128): Elaborating entity "scfifo_2k31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated"
  1880. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_bv21.tdf
  1881. Info (12023): Found entity 1: a_dpfifo_bv21
  1882. Info (12023): Found entity 1: a_dpfifo_bv21
  1883. Info (12128): Elaborating entity "a_dpfifo_bv21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo"
  1884. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_hkg1.tdf
  1885. Info (12023): Found entity 1: altsyncram_hkg1
  1886. Info (12023): Found entity 1: altsyncram_hkg1
  1887. Info (12128): Elaborating entity "altsyncram_hkg1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|altsyncram_hkg1:FIFOram"
  1888. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_578.tdf
  1889. Info (12023): Found entity 1: cmpr_578
  1890. Info (12023): Found entity 1: cmpr_578
  1891. Info (12128): Elaborating entity "cmpr_578" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cmpr_578:almost_full_comparer"
  1892. Info (12128): Elaborating entity "cmpr_578" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cmpr_578:three_comparison"
  1893. Info (12128): Elaborating entity "cntr_i2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cntr_i2b:rd_ptr_msb"
  1894. Info (12128): Elaborating entity "cntr_v27" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cntr_v27:usedw_counter"
  1895. Info (12128): Elaborating entity "cntr_j2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cntr_j2b:wr_ptr"
  1896. Info (12128): Elaborating entity "alt_mem_ddrx_rdata_path" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst"
  1897. Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo"
  1898. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component"
  1899. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component"
  1900. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
  1901. Info (12134): Parameter "add_ram_output_register" = "ON"
  1902. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1903. Info (12134): Parameter "lpm_numwords" = "16"
  1904. Info (12134): Parameter "lpm_showahead" = "ON"
  1905. Info (12134): Parameter "lpm_type" = "scfifo"
  1906. Info (12134): Parameter "lpm_width" = "46"
  1907. Info (12134): Parameter "lpm_widthu" = "4"
  1908. Info (12134): Parameter "overflow_checking" = "OFF"
  1909. Info (12134): Parameter "underflow_checking" = "OFF"
  1910. Info (12134): Parameter "use_eab" = "ON"
  1911. Info (12134): Parameter "add_ram_output_register" = "ON"
  1912. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1913. Info (12134): Parameter "lpm_numwords" = "16"
  1914. Info (12134): Parameter "lpm_showahead" = "ON"
  1915. Info (12134): Parameter "lpm_type" = "scfifo"
  1916. Info (12134): Parameter "lpm_width" = "46"
  1917. Info (12134): Parameter "lpm_widthu" = "4"
  1918. Info (12134): Parameter "overflow_checking" = "OFF"
  1919. Info (12134): Parameter "underflow_checking" = "OFF"
  1920. Info (12134): Parameter "use_eab" = "ON"
  1921. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_gk31.tdf
  1922. Info (12023): Found entity 1: scfifo_gk31
  1923. Info (12023): Found entity 1: scfifo_gk31
  1924. Info (12128): Elaborating entity "scfifo_gk31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated"
  1925. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_pv21.tdf
  1926. Info (12023): Found entity 1: a_dpfifo_pv21
  1927. Info (12023): Found entity 1: a_dpfifo_pv21
  1928. Info (12128): Elaborating entity "a_dpfifo_pv21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo"
  1929. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dlg1.tdf
  1930. Info (12023): Found entity 1: altsyncram_dlg1
  1931. Info (12023): Found entity 1: altsyncram_dlg1
  1932. Info (12128): Elaborating entity "altsyncram_dlg1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|altsyncram_dlg1:FIFOram"
  1933. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_778.tdf
  1934. Info (12023): Found entity 1: cmpr_778
  1935. Info (12023): Found entity 1: cmpr_778
  1936. Info (12128): Elaborating entity "cmpr_778" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cmpr_778:almost_full_comparer"
  1937. Info (12128): Elaborating entity "cmpr_778" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cmpr_778:three_comparison"
  1938. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_k2b.tdf
  1939. Info (12023): Found entity 1: cntr_k2b
  1940. Info (12023): Found entity 1: cntr_k2b
  1941. Info (12128): Elaborating entity "cntr_k2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cntr_k2b:rd_ptr_msb"
  1942. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_137.tdf
  1943. Info (12023): Found entity 1: cntr_137
  1944. Info (12023): Found entity 1: cntr_137
  1945. Info (12128): Elaborating entity "cntr_137" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cntr_137:usedw_counter"
  1946. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_l2b.tdf
  1947. Info (12023): Found entity 1: cntr_l2b
  1948. Info (12023): Found entity 1: cntr_l2b
  1949. Info (12128): Elaborating entity "cntr_l2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cntr_l2b:wr_ptr"
  1950. Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst"
  1951. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
  1952. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
  1953. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
  1954. Info (12134): Parameter "add_ram_output_register" = "ON"
  1955. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1956. Info (12134): Parameter "lpm_numwords" = "8"
  1957. Info (12134): Parameter "lpm_showahead" = "ON"
  1958. Info (12134): Parameter "lpm_type" = "scfifo"
  1959. Info (12134): Parameter "lpm_width" = "40"
  1960. Info (12134): Parameter "lpm_widthu" = "3"
  1961. Info (12134): Parameter "overflow_checking" = "OFF"
  1962. Info (12134): Parameter "underflow_checking" = "OFF"
  1963. Info (12134): Parameter "use_eab" = "ON"
  1964. Info (12134): Parameter "add_ram_output_register" = "ON"
  1965. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  1966. Info (12134): Parameter "lpm_numwords" = "8"
  1967. Info (12134): Parameter "lpm_showahead" = "ON"
  1968. Info (12134): Parameter "lpm_type" = "scfifo"
  1969. Info (12134): Parameter "lpm_width" = "40"
  1970. Info (12134): Parameter "lpm_widthu" = "3"
  1971. Info (12134): Parameter "overflow_checking" = "OFF"
  1972. Info (12134): Parameter "underflow_checking" = "OFF"
  1973. Info (12134): Parameter "use_eab" = "ON"
  1974. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_qi31.tdf
  1975. Info (12023): Found entity 1: scfifo_qi31
  1976. Info (12023): Found entity 1: scfifo_qi31
  1977. Info (12128): Elaborating entity "scfifo_qi31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated"
  1978. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_3u21.tdf
  1979. Info (12023): Found entity 1: a_dpfifo_3u21
  1980. Info (12023): Found entity 1: a_dpfifo_3u21
  1981. Info (12128): Elaborating entity "a_dpfifo_3u21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo"
  1982. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_1ig1.tdf
  1983. Info (12023): Found entity 1: altsyncram_1ig1
  1984. Info (12023): Found entity 1: altsyncram_1ig1
  1985. Info (12128): Elaborating entity "altsyncram_1ig1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|altsyncram_1ig1:FIFOram"
  1986. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_678.tdf
  1987. Info (12023): Found entity 1: cmpr_678
  1988. Info (12023): Found entity 1: cmpr_678
  1989. Info (12128): Elaborating entity "cmpr_678" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|cmpr_678:almost_full_comparer"
  1990. Info (12128): Elaborating entity "cmpr_678" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|cmpr_678:three_comparison"
  1991. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_037.tdf
  1992. Info (12023): Found entity 1: cntr_037
  1993. Info (12023): Found entity 1: cntr_037
  1994. Info (12128): Elaborating entity "cntr_037" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|cntr_037:usedw_counter"
  1995. Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst"
  1996. Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst"
  1997. Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst"
  1998. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
  1999. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
  2000. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
  2001. Info (12134): Parameter "add_ram_output_register" = "ON"
  2002. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  2003. Info (12134): Parameter "lpm_numwords" = "16"
  2004. Info (12134): Parameter "lpm_showahead" = "ON"
  2005. Info (12134): Parameter "lpm_type" = "scfifo"
  2006. Info (12134): Parameter "lpm_width" = "11"
  2007. Info (12134): Parameter "lpm_widthu" = "4"
  2008. Info (12134): Parameter "overflow_checking" = "OFF"
  2009. Info (12134): Parameter "underflow_checking" = "OFF"
  2010. Info (12134): Parameter "use_eab" = "ON"
  2011. Info (12134): Parameter "add_ram_output_register" = "ON"
  2012. Info (12134): Parameter "intended_device_family" = "Stratix IV"
  2013. Info (12134): Parameter "lpm_numwords" = "16"
  2014. Info (12134): Parameter "lpm_showahead" = "ON"
  2015. Info (12134): Parameter "lpm_type" = "scfifo"
  2016. Info (12134): Parameter "lpm_width" = "11"
  2017. Info (12134): Parameter "lpm_widthu" = "4"
  2018. Info (12134): Parameter "overflow_checking" = "OFF"
  2019. Info (12134): Parameter "underflow_checking" = "OFF"
  2020. Info (12134): Parameter "use_eab" = "ON"
  2021. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_8k31.tdf
  2022. Info (12023): Found entity 1: scfifo_8k31
  2023. Info (12023): Found entity 1: scfifo_8k31
  2024. Info (12128): Elaborating entity "scfifo_8k31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_8k31:auto_generated"
  2025. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_hv21.tdf
  2026. Info (12023): Found entity 1: a_dpfifo_hv21
  2027. Info (12023): Found entity 1: a_dpfifo_hv21
  2028. Info (12128): Elaborating entity "a_dpfifo_hv21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_8k31:auto_generated|a_dpfifo_hv21:dpfifo"
  2029. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_tkg1.tdf
  2030. Info (12023): Found entity 1: altsyncram_tkg1
  2031. Info (12023): Found entity 1: altsyncram_tkg1
  2032. Info (12128): Elaborating entity "altsyncram_tkg1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_8k31:auto_generated|a_dpfifo_hv21:dpfifo|altsyncram_tkg1:FIFOram"
  2033. Info (12128): Elaborating entity "alt_mem_ddrx_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst"
  2034. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component"
  2035. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component"
  2036. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component" with the following parameter:
  2037. Info (12134): Parameter "address_aclr_a" = "NONE"
  2038. Info (12134): Parameter "address_aclr_b" = "NONE"
  2039. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  2040. Info (12134): Parameter "indata_aclr_a" = "NONE"
  2041. Info (12134): Parameter "intended_device_family" = "Stratix"
  2042. Info (12134): Parameter "lpm_type" = "altsyncram"
  2043. Info (12134): Parameter "numwords_a" = "128"
  2044. Info (12134): Parameter "numwords_b" = "128"
  2045. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  2046. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  2047. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  2048. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  2049. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  2050. Info (12134): Parameter "widthad_a" = "7"
  2051. Info (12134): Parameter "widthad_b" = "7"
  2052. Info (12134): Parameter "width_a" = "65"
  2053. Info (12134): Parameter "width_b" = "65"
  2054. Info (12134): Parameter "width_byteena_a" = "1"
  2055. Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
  2056. Info (12134): Parameter "address_aclr_a" = "NONE"
  2057. Info (12134): Parameter "address_aclr_b" = "NONE"
  2058. Info (12134): Parameter "address_reg_b" = "CLOCK0"
  2059. Info (12134): Parameter "indata_aclr_a" = "NONE"
  2060. Info (12134): Parameter "intended_device_family" = "Stratix"
  2061. Info (12134): Parameter "lpm_type" = "altsyncram"
  2062. Info (12134): Parameter "numwords_a" = "128"
  2063. Info (12134): Parameter "numwords_b" = "128"
  2064. Info (12134): Parameter "operation_mode" = "DUAL_PORT"
  2065. Info (12134): Parameter "outdata_aclr_b" = "NONE"
  2066. Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
  2067. Info (12134): Parameter "power_up_uninitialized" = "FALSE"
  2068. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  2069. Info (12134): Parameter "widthad_a" = "7"
  2070. Info (12134): Parameter "widthad_b" = "7"
  2071. Info (12134): Parameter "width_a" = "65"
  2072. Info (12134): Parameter "width_b" = "65"
  2073. Info (12134): Parameter "width_byteena_a" = "1"
  2074. Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
  2075. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_14l1.tdf
  2076. Info (12023): Found entity 1: altsyncram_14l1
  2077. Info (12023): Found entity 1: altsyncram_14l1
  2078. Info (12128): Elaborating entity "altsyncram_14l1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_14l1:auto_generated"
  2079. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder_decoder_wrapper" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst"
  2080. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_encoder:encoder_inst_per_drate[0].encoder_inst"
  2081. Warning (10230): Verilog HDL assignment warning at alt_mem_ddrx_ecc_encoder.v(203): truncated value with size 32 to match size of target (8)
  2082. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder_32" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_encoder:encoder_inst_per_drate[0].encoder_inst|alt_mem_ddrx_ecc_encoder_32:encoder_inst"
  2083. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder_32_altecc_encoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_encoder:encoder_inst_per_drate[0].encoder_inst|alt_mem_ddrx_ecc_encoder_32:encoder_inst|alt_mem_ddrx_ecc_encoder_32_altecc_encoder:alt_mem_ddrx_ecc_encoder_32_altecc_encoder_component"
  2084. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst"
  2085. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder_32" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst|alt_mem_ddrx_ecc_decoder_32:decoder_inst"
  2086. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder_32_altecc_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst|alt_mem_ddrx_ecc_decoder_32:decoder_inst|alt_mem_ddrx_ecc_decoder_32_altecc_decoder:alt_mem_ddrx_ecc_decoder_32_altecc_decoder_component"
  2087. Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder_32_decode" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst|alt_mem_ddrx_ecc_decoder_32:decoder_inst|alt_mem_ddrx_ecc_decoder_32_altecc_decoder:alt_mem_ddrx_ecc_decoder_32_altecc_decoder_component|alt_mem_ddrx_ecc_decoder_32_decode:error_bit_decoder"
  2088. Info (12128): Elaborating entity "alt_mem_ddrx_sideband" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst"
  2089. Info (12128): Elaborating entity "alt_mem_ddrx_rank_timer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst"
  2090. Info (12128): Elaborating entity "alt_mem_ddrx_timing_param" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_timing_param:timing_param_inst"
  2091. Info (12128): Elaborating entity "alt_mem_ddrx_mm_st_converter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_ddrx_mm_st_converter:a0"
  2092. Warning (10036): Verilog HDL or VHDL warning at alt_mem_ddrx_mm_st_converter.v(154): object "avl_burstbegin_reg" assigned a value but never read
  2093. Info (12128): Elaborating entity "mipi_vip_mipi_core_en" for hierarchy "mipi_vip:u0|mipi_vip_mipi_core_en:mipi_core_en"
  2094. Info (12128): Elaborating entity "mipi_vip_nios2_gen2" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2"
  2095. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu"
  2096. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_test_bench" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_test_bench:the_mipi_vip_nios2_gen2_cpu_test_bench"
  2097. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_ic_data_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_data_module:mipi_vip_nios2_gen2_cpu_ic_data"
  2098. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_data_module:mipi_vip_nios2_gen2_cpu_ic_data|altsyncram:the_altsyncram"
  2099. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_2uc1.tdf
  2100. Info (12023): Found entity 1: altsyncram_2uc1
  2101. Info (12023): Found entity 1: altsyncram_2uc1
  2102. Info (12128): Elaborating entity "altsyncram_2uc1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_data_module:mipi_vip_nios2_gen2_cpu_ic_data|altsyncram:the_altsyncram|altsyncram_2uc1:auto_generated"
  2103. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_ic_tag_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_tag_module:mipi_vip_nios2_gen2_cpu_ic_tag"
  2104. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_tag_module:mipi_vip_nios2_gen2_cpu_ic_tag|altsyncram:the_altsyncram"
  2105. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_1lc1.tdf
  2106. Info (12023): Found entity 1: altsyncram_1lc1
  2107. Info (12023): Found entity 1: altsyncram_1lc1
  2108. Info (12128): Elaborating entity "altsyncram_1lc1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_tag_module:mipi_vip_nios2_gen2_cpu_ic_tag|altsyncram:the_altsyncram|altsyncram_1lc1:auto_generated"
  2109. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_bht_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_bht_module:mipi_vip_nios2_gen2_cpu_bht"
  2110. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_bht_module:mipi_vip_nios2_gen2_cpu_bht|altsyncram:the_altsyncram"
  2111. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vhc1.tdf
  2112. Info (12023): Found entity 1: altsyncram_vhc1
  2113. Info (12023): Found entity 1: altsyncram_vhc1
  2114. Info (12128): Elaborating entity "altsyncram_vhc1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_bht_module:mipi_vip_nios2_gen2_cpu_bht|altsyncram:the_altsyncram|altsyncram_vhc1:auto_generated"
  2115. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_register_bank_a_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_a_module:mipi_vip_nios2_gen2_cpu_register_bank_a"
  2116. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_a_module:mipi_vip_nios2_gen2_cpu_register_bank_a|altsyncram:the_altsyncram"
  2117. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_5tb1.tdf
  2118. Info (12023): Found entity 1: altsyncram_5tb1
  2119. Info (12023): Found entity 1: altsyncram_5tb1
  2120. Info (12128): Elaborating entity "altsyncram_5tb1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_a_module:mipi_vip_nios2_gen2_cpu_register_bank_a|altsyncram:the_altsyncram|altsyncram_5tb1:auto_generated"
  2121. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_register_bank_b_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_b_module:mipi_vip_nios2_gen2_cpu_register_bank_b"
  2122. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_mult_cell" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell"
  2123. Info (12128): Elaborating entity "altera_mult_add" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1"
  2124. Info (12021): Found 1 design units, including 1 entities, in source file db/altera_mult_add_bbo2.v
  2125. Info (12023): Found entity 1: altera_mult_add_bbo2
  2126. Info (12023): Found entity 1: altera_mult_add_bbo2
  2127. Info (12128): Elaborating entity "altera_mult_add_bbo2" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated"
  2128. Info (12128): Elaborating entity "altera_mult_add_rtl" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1"
  2129. Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_register_function:signa_reg_block"
  2130. Info (12128): Elaborating entity "ama_data_split_reg_ext_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:dataa_split"
  2131. Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:dataa_split|ama_register_function:data_register_block_0"
  2132. Info (12128): Elaborating entity "ama_dynamic_signed_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:dataa_split|ama_dynamic_signed_function:data0_signed_extension_block"
  2133. Info (12128): Elaborating entity "ama_data_split_reg_ext_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:datac_split"
  2134. Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:datac_split|ama_register_function:data_register_block_0"
  2135. Info (12128): Elaborating entity "ama_dynamic_signed_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:datac_split|ama_dynamic_signed_function:data0_signed_extension_block"
  2136. Info (12128): Elaborating entity "ama_preadder_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block"
  2137. Info (12128): Elaborating entity "ama_adder_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block|ama_adder_function:preadder_adder_0"
  2138. Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block|ama_adder_function:preadder_adder_0|ama_signed_extension_function:first_adder_ext_block_0"
  2139. Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block|ama_adder_function:preadder_adder_0|ama_signed_extension_function:second_adder_ext_block_0"
  2140. Info (12128): Elaborating entity "ama_multiplier_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_multiplier_function:multiplier_block"
  2141. Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_multiplier_function:multiplier_block|ama_register_function:multiplier_register_block_0"
  2142. Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_multiplier_function:multiplier_block|ama_register_function:multiplier_register_block_1"
  2143. Info (12128): Elaborating entity "ama_adder_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_adder_function:final_adder_block"
  2144. Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_adder_function:final_adder_block|ama_signed_extension_function:first_adder_ext_block_0"
  2145. Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_adder_function:final_adder_block|ama_signed_extension_function:second_adder_ext_block_0"
  2146. Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_register_function:output_reg_block"
  2147. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_dc_tag_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_tag_module:mipi_vip_nios2_gen2_cpu_dc_tag"
  2148. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_tag_module:mipi_vip_nios2_gen2_cpu_dc_tag|altsyncram:the_altsyncram"
  2149. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9tb1.tdf
  2150. Info (12023): Found entity 1: altsyncram_9tb1
  2151. Info (12023): Found entity 1: altsyncram_9tb1
  2152. Info (12128): Elaborating entity "altsyncram_9tb1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_tag_module:mipi_vip_nios2_gen2_cpu_dc_tag|altsyncram:the_altsyncram|altsyncram_9tb1:auto_generated"
  2153. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_dc_data_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_data_module:mipi_vip_nios2_gen2_cpu_dc_data"
  2154. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_data_module:mipi_vip_nios2_gen2_cpu_dc_data|altsyncram:the_altsyncram"
  2155. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_aoe1.tdf
  2156. Info (12023): Found entity 1: altsyncram_aoe1
  2157. Info (12023): Found entity 1: altsyncram_aoe1
  2158. Info (12128): Elaborating entity "altsyncram_aoe1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_data_module:mipi_vip_nios2_gen2_cpu_dc_data|altsyncram:the_altsyncram|altsyncram_aoe1:auto_generated"
  2159. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_dc_victim_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_victim_module:mipi_vip_nios2_gen2_cpu_dc_victim"
  2160. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_victim_module:mipi_vip_nios2_gen2_cpu_dc_victim|altsyncram:the_altsyncram"
  2161. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_hec1.tdf
  2162. Info (12023): Found entity 1: altsyncram_hec1
  2163. Info (12023): Found entity 1: altsyncram_hec1
  2164. Info (12128): Elaborating entity "altsyncram_hec1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_victim_module:mipi_vip_nios2_gen2_cpu_dc_victim|altsyncram:the_altsyncram|altsyncram_hec1:auto_generated"
  2165. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci"
  2166. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_debug" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_debug:the_mipi_vip_nios2_gen2_cpu_nios2_oci_debug"
  2167. Info (12128): Elaborating entity "altera_std_synchronizer" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_debug:the_mipi_vip_nios2_gen2_cpu_nios2_oci_debug|altera_std_synchronizer:the_altera_std_synchronizer"
  2168. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_break" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_break:the_mipi_vip_nios2_gen2_cpu_nios2_oci_break"
  2169. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk:the_mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk"
  2170. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk:the_mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk"
  2171. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_itrace" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_itrace:the_mipi_vip_nios2_gen2_cpu_nios2_oci_itrace"
  2172. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace:the_mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace"
  2173. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace:the_mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace|mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode:mipi_vip_nios2_gen2_cpu_nios2_oci_trc_ctrl_td_mode"
  2174. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_fifo" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo"
  2175. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo|mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt:the_mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt"
  2176. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc"
  2177. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc"
  2178. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_pib" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_pib:the_mipi_vip_nios2_gen2_cpu_nios2_oci_pib"
  2179. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_im" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_im:the_mipi_vip_nios2_gen2_cpu_nios2_oci_im"
  2180. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_avalon_reg" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_avalon_reg:the_mipi_vip_nios2_gen2_cpu_nios2_avalon_reg"
  2181. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_ocimem" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem"
  2182. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem|mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module:mipi_vip_nios2_gen2_cpu_ociram_sp_ram"
  2183. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem|mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module:mipi_vip_nios2_gen2_cpu_ociram_sp_ram|altsyncram:the_altsyncram"
  2184. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_qk21.tdf
  2185. Info (12023): Found entity 1: altsyncram_qk21
  2186. Info (12023): Found entity 1: altsyncram_qk21
  2187. Info (12128): Elaborating entity "altsyncram_qk21" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem|mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module:mipi_vip_nios2_gen2_cpu_ociram_sp_ram|altsyncram:the_altsyncram|altsyncram_qk21:auto_generated"
  2188. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_debug_slave_wrapper" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper"
  2189. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_debug_slave_tck" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|mipi_vip_nios2_gen2_cpu_debug_slave_tck:the_mipi_vip_nios2_gen2_cpu_debug_slave_tck"
  2190. Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_debug_slave_sysclk" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|mipi_vip_nios2_gen2_cpu_debug_slave_sysclk:the_mipi_vip_nios2_gen2_cpu_debug_slave_sysclk"
  2191. Info (12128): Elaborating entity "sld_virtual_jtag_basic" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy"
  2192. Info (12128): Elaborating entity "sld_virtual_jtag_impl" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy|sld_virtual_jtag_impl:sld_virtual_jtag_impl_inst"
  2193. Info (12128): Elaborating entity "sld_jtag_endpoint_adapter" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy|sld_virtual_jtag_impl:sld_virtual_jtag_impl_inst|sld_jtag_endpoint_adapter:jtag_signal_adapter"
  2194. Info (12128): Elaborating entity "sld_jtag_endpoint_adapter_impl" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy|sld_virtual_jtag_impl:sld_virtual_jtag_impl_inst|sld_jtag_endpoint_adapter:jtag_signal_adapter|sld_jtag_endpoint_adapter_impl:sld_jtag_endpoint_adapter_impl_inst"
  2195. Info (12128): Elaborating entity "mipi_vip_onchip_memory2" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2"
  2196. Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram"
  2197. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram"
  2198. Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram" with the following parameter:
  2199. Info (12134): Parameter "byte_size" = "8"
  2200. Info (12134): Parameter "init_file" = "mipi_vip_onchip_memory2.hex"
  2201. Info (12134): Parameter "lpm_type" = "altsyncram"
  2202. Info (12134): Parameter "maximum_depth" = "17500"
  2203. Info (12134): Parameter "numwords_a" = "17500"
  2204. Info (12134): Parameter "operation_mode" = "SINGLE_PORT"
  2205. Info (12134): Parameter "outdata_reg_a" = "UNREGISTERED"
  2206. Info (12134): Parameter "ram_block_type" = "AUTO"
  2207. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  2208. Info (12134): Parameter "width_a" = "32"
  2209. Info (12134): Parameter "width_byteena_a" = "4"
  2210. Info (12134): Parameter "widthad_a" = "15"
  2211. Info (12134): Parameter "byte_size" = "8"
  2212. Info (12134): Parameter "init_file" = "mipi_vip_onchip_memory2.hex"
  2213. Info (12134): Parameter "lpm_type" = "altsyncram"
  2214. Info (12134): Parameter "maximum_depth" = "17500"
  2215. Info (12134): Parameter "numwords_a" = "17500"
  2216. Info (12134): Parameter "operation_mode" = "SINGLE_PORT"
  2217. Info (12134): Parameter "outdata_reg_a" = "UNREGISTERED"
  2218. Info (12134): Parameter "ram_block_type" = "AUTO"
  2219. Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
  2220. Info (12134): Parameter "width_a" = "32"
  2221. Info (12134): Parameter "width_byteena_a" = "4"
  2222. Info (12134): Parameter "widthad_a" = "15"
  2223. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_lfc1.tdf
  2224. Info (12023): Found entity 1: altsyncram_lfc1
  2225. Info (12023): Found entity 1: altsyncram_lfc1
  2226. Info (12128): Elaborating entity "altsyncram_lfc1" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram|altsyncram_lfc1:auto_generated"
  2227. Warning (113015): Width of data items in "mipi_vip_onchip_memory2.hex" is greater than the memory width. Wrapping data items to subsequent addresses. Found 2188 warnings, reporting 10
  2228. Warning (113009): Data at line (2) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2229. Warning (113009): Data at line (3) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2230. Warning (113009): Data at line (4) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2231. Warning (113009): Data at line (5) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2232. Warning (113009): Data at line (6) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2233. Warning (113009): Data at line (7) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2234. Warning (113009): Data at line (8) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2235. Warning (113009): Data at line (9) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2236. Warning (113009): Data at line (10) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2237. Warning (113009): Data at line (11) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2238. Warning (113009): Data at line (2) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2239. Warning (113009): Data at line (3) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2240. Warning (113009): Data at line (4) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2241. Warning (113009): Data at line (5) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2242. Warning (113009): Data at line (6) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2243. Warning (113009): Data at line (7) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2244. Warning (113009): Data at line (8) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2245. Warning (113009): Data at line (9) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2246. Warning (113009): Data at line (10) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2247. Warning (113009): Data at line (11) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
  2248. Info (12021): Found 1 design units, including 1 entities, in source file db/decode_b7a.tdf
  2249. Info (12023): Found entity 1: decode_b7a
  2250. Info (12023): Found entity 1: decode_b7a
  2251. Info (12128): Elaborating entity "decode_b7a" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram|altsyncram_lfc1:auto_generated|decode_b7a:decode3"
  2252. Info (12021): Found 1 design units, including 1 entities, in source file db/mux_83b.tdf
  2253. Info (12023): Found entity 1: mux_83b
  2254. Info (12023): Found entity 1: mux_83b
  2255. Info (12128): Elaborating entity "mux_83b" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram|altsyncram_lfc1:auto_generated|mux_83b:mux2"
  2256. Info (12128): Elaborating entity "mipi_vip_sw" for hierarchy "mipi_vip:u0|mipi_vip_sw:sw"
  2257. Info (12128): Elaborating entity "mipi_vip_sysid_qsys" for hierarchy "mipi_vip:u0|mipi_vip_sysid_qsys:sysid_qsys"
  2258. Info (12128): Elaborating entity "TERASIC_Bayer2RGB" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb"
  2259. Info (12128): Elaborating entity "Bayer2RGB" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst"
  2260. Info (12128): Elaborating entity "Bayer_LineBuffer" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst"
  2261. Info (12128): Elaborating entity "altshift_taps" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component"
  2262. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component"
  2263. Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component" with the following parameter:
  2264. Info (12134): Parameter "intended_device_family" = "MAX 10"
  2265. Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=M9K"
  2266. Info (12134): Parameter "lpm_type" = "altshift_taps"
  2267. Info (12134): Parameter "number_of_taps" = "3"
  2268. Info (12134): Parameter "tap_distance" = "1024"
  2269. Info (12134): Parameter "width" = "12"
  2270. Info (12134): Parameter "intended_device_family" = "MAX 10"
  2271. Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=M9K"
  2272. Info (12134): Parameter "lpm_type" = "altshift_taps"
  2273. Info (12134): Parameter "number_of_taps" = "3"
  2274. Info (12134): Parameter "tap_distance" = "1024"
  2275. Info (12134): Parameter "width" = "12"
  2276. Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_e1v.tdf
  2277. Info (12023): Found entity 1: shift_taps_e1v
  2278. Info (12023): Found entity 1: shift_taps_e1v
  2279. Info (12128): Elaborating entity "shift_taps_e1v" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated"
  2280. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_pkc1.tdf
  2281. Info (12023): Found entity 1: altsyncram_pkc1
  2282. Info (12023): Found entity 1: altsyncram_pkc1
  2283. Info (12128): Elaborating entity "altsyncram_pkc1" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|altsyncram_pkc1:altsyncram2"
  2284. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_t9f.tdf
  2285. Info (12023): Found entity 1: cntr_t9f
  2286. Info (12023): Found entity 1: cntr_t9f
  2287. Info (12128): Elaborating entity "cntr_t9f" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|cntr_t9f:cntr1"
  2288. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_tsb.tdf
  2289. Info (12023): Found entity 1: cmpr_tsb
  2290. Info (12023): Found entity 1: cmpr_tsb
  2291. Info (12128): Elaborating entity "cmpr_tsb" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|cntr_t9f:cntr1|cmpr_tsb:cmpr6"
  2292. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_jpg.tdf
  2293. Info (12023): Found entity 1: cntr_jpg
  2294. Info (12023): Found entity 1: cntr_jpg
  2295. Info (12128): Elaborating entity "cntr_jpg" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|cntr_jpg:cntr3"
  2296. Info (12128): Elaborating entity "add4" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1"
  2297. Info (12128): Elaborating entity "parallel_add" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component"
  2298. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component"
  2299. Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component" with the following parameter:
  2300. Info (12134): Parameter "msw_subtract" = "NO"
  2301. Info (12134): Parameter "pipeline" = "0"
  2302. Info (12134): Parameter "representation" = "UNSIGNED"
  2303. Info (12134): Parameter "result_alignment" = "LSB"
  2304. Info (12134): Parameter "shift" = "0"
  2305. Info (12134): Parameter "size" = "4"
  2306. Info (12134): Parameter "width" = "12"
  2307. Info (12134): Parameter "widthr" = "14"
  2308. Info (12134): Parameter "msw_subtract" = "NO"
  2309. Info (12134): Parameter "pipeline" = "0"
  2310. Info (12134): Parameter "representation" = "UNSIGNED"
  2311. Info (12134): Parameter "result_alignment" = "LSB"
  2312. Info (12134): Parameter "shift" = "0"
  2313. Info (12134): Parameter "size" = "4"
  2314. Info (12134): Parameter "width" = "12"
  2315. Info (12134): Parameter "widthr" = "14"
  2316. Info (12021): Found 1 design units, including 1 entities, in source file db/par_add_1ae.tdf
  2317. Info (12023): Found entity 1: par_add_1ae
  2318. Info (12023): Found entity 1: par_add_1ae
  2319. Info (12128): Elaborating entity "par_add_1ae" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component|par_add_1ae:auto_generated"
  2320. Info (12128): Elaborating entity "add2" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3"
  2321. Info (12128): Elaborating entity "parallel_add" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component"
  2322. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component"
  2323. Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component" with the following parameter:
  2324. Info (12134): Parameter "msw_subtract" = "NO"
  2325. Info (12134): Parameter "pipeline" = "0"
  2326. Info (12134): Parameter "representation" = "UNSIGNED"
  2327. Info (12134): Parameter "result_alignment" = "LSB"
  2328. Info (12134): Parameter "shift" = "0"
  2329. Info (12134): Parameter "size" = "2"
  2330. Info (12134): Parameter "width" = "12"
  2331. Info (12134): Parameter "widthr" = "13"
  2332. Info (12134): Parameter "msw_subtract" = "NO"
  2333. Info (12134): Parameter "pipeline" = "0"
  2334. Info (12134): Parameter "representation" = "UNSIGNED"
  2335. Info (12134): Parameter "result_alignment" = "LSB"
  2336. Info (12134): Parameter "shift" = "0"
  2337. Info (12134): Parameter "size" = "2"
  2338. Info (12134): Parameter "width" = "12"
  2339. Info (12134): Parameter "widthr" = "13"
  2340. Info (12021): Found 1 design units, including 1 entities, in source file db/par_add_u9e.tdf
  2341. Info (12023): Found entity 1: par_add_u9e
  2342. Info (12023): Found entity 1: par_add_u9e
  2343. Info (12128): Elaborating entity "par_add_u9e" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component|par_add_u9e:auto_generated"
  2344. Info (12128): Elaborating entity "rgb_fifo" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst"
  2345. Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component"
  2346. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component"
  2347. Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component" with the following parameter:
  2348. Info (12134): Parameter "add_ram_output_register" = "ON"
  2349. Info (12134): Parameter "intended_device_family" = "MAX 10"
  2350. Info (12134): Parameter "lpm_numwords" = "1024"
  2351. Info (12134): Parameter "lpm_showahead" = "ON"
  2352. Info (12134): Parameter "lpm_type" = "scfifo"
  2353. Info (12134): Parameter "lpm_width" = "38"
  2354. Info (12134): Parameter "lpm_widthu" = "10"
  2355. Info (12134): Parameter "overflow_checking" = "ON"
  2356. Info (12134): Parameter "underflow_checking" = "ON"
  2357. Info (12134): Parameter "use_eab" = "ON"
  2358. Info (12134): Parameter "add_ram_output_register" = "ON"
  2359. Info (12134): Parameter "intended_device_family" = "MAX 10"
  2360. Info (12134): Parameter "lpm_numwords" = "1024"
  2361. Info (12134): Parameter "lpm_showahead" = "ON"
  2362. Info (12134): Parameter "lpm_type" = "scfifo"
  2363. Info (12134): Parameter "lpm_width" = "38"
  2364. Info (12134): Parameter "lpm_widthu" = "10"
  2365. Info (12134): Parameter "overflow_checking" = "ON"
  2366. Info (12134): Parameter "underflow_checking" = "ON"
  2367. Info (12134): Parameter "use_eab" = "ON"
  2368. Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_5o21.tdf
  2369. Info (12023): Found entity 1: scfifo_5o21
  2370. Info (12023): Found entity 1: scfifo_5o21
  2371. Info (12128): Elaborating entity "scfifo_5o21" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated"
  2372. Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_cu21.tdf
  2373. Info (12023): Found entity 1: a_dpfifo_cu21
  2374. Info (12023): Found entity 1: a_dpfifo_cu21
  2375. Info (12128): Elaborating entity "a_dpfifo_cu21" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo"
  2376. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9ug1.tdf
  2377. Info (12023): Found entity 1: altsyncram_9ug1
  2378. Info (12023): Found entity 1: altsyncram_9ug1
  2379. Info (12128): Elaborating entity "altsyncram_9ug1" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|altsyncram_9ug1:FIFOram"
  2380. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_k88.tdf
  2381. Info (12023): Found entity 1: cmpr_k88
  2382. Info (12023): Found entity 1: cmpr_k88
  2383. Info (12128): Elaborating entity "cmpr_k88" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cmpr_k88:almost_full_comparer"
  2384. Info (12128): Elaborating entity "cmpr_k88" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cmpr_k88:three_comparison"
  2385. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_q2b.tdf
  2386. Info (12023): Found entity 1: cntr_q2b
  2387. Info (12023): Found entity 1: cntr_q2b
  2388. Info (12128): Elaborating entity "cntr_q2b" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cntr_q2b:rd_ptr_msb"
  2389. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_e47.tdf
  2390. Info (12023): Found entity 1: cntr_e47
  2391. Info (12023): Found entity 1: cntr_e47
  2392. Info (12128): Elaborating entity "cntr_e47" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cntr_e47:usedw_counter"
  2393. Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_24b.tdf
  2394. Info (12023): Found entity 1: cntr_24b
  2395. Info (12023): Found entity 1: cntr_24b
  2396. Info (12128): Elaborating entity "cntr_24b" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cntr_24b:wr_ptr"
  2397. Info (12128): Elaborating entity "mipi_vip_timer" for hierarchy "mipi_vip:u0|mipi_vip_timer:timer"
  2398. Info (12128): Elaborating entity "mipi_vip_tpg" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg"
  2399. Info (12128): Elaborating entity "alt_vip_tpg_core" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_core:tpg_core"
  2400. Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_core:tpg_core|alt_vip_common_event_packet_decode:cmd_input"
  2401. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_core:tpg_core|alt_vip_common_event_packet_encode:data_output"
  2402. Info (12128): Elaborating entity "alt_vip_tpg_scheduler" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_scheduler:scheduler"
  2403. Info (12128): Elaborating entity "alt_vip_control_slave" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_control_slave:control_slave"
  2404. Info (12128): Elaborating entity "mipi_vip_vip_mixer" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer"
  2405. Info (12128): Elaborating entity "alt_vip_tpg_core" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_tpg_core:tpg"
  2406. Info (12128): Elaborating entity "alt_vip_mix_alg_core" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_alg_core:mix"
  2407. Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_alg_core:mix|alt_vip_common_event_packet_decode:cmd_decoder"
  2408. Info (12128): Elaborating entity "alt_vip_mix_scheduler" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler"
  2409. Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "command_vib_args_in" into its bus
  2410. Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "resp_vib_args_out" into its bus
  2411. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_vob_encoder"
  2412. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_mix_encoder"
  2413. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler|alt_vip_common_event_packet_encode:tpg_alg_core_cmd_encoder"
  2414. Info (12128): Elaborating entity "alt_vip_control_slave" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_control_slave:control"
  2415. Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_control_slave:control|alt_vip_common_event_packet_encode:resp_encoder"
  2416. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0"
  2417. Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:nios2_gen2_data_master_translator"
  2418. Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:nios2_gen2_instruction_master_translator"
  2419. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:jtag_uart_avalon_jtag_slave_translator"
  2420. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:i2c_opencores_mipi_avalon_slave_0_translator"
  2421. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:tpg_control_translator"
  2422. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:vip_mixer_control_translator"
  2423. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:sysid_qsys_control_slave_translator"
  2424. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:nios2_gen2_debug_mem_slave_translator"
  2425. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:altpll_mipi_pll_slave_translator"
  2426. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:onchip_memory2_s1_translator"
  2427. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:timer_s1_translator"
  2428. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:mipi_reset_n_s1_translator"
  2429. Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:nios2_gen2_data_master_agent"
  2430. Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:nios2_gen2_instruction_master_agent"
  2431. Info (12128): Elaborating entity "altera_merlin_slave_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:jtag_uart_avalon_jtag_slave_agent"
  2432. Info (12128): Elaborating entity "altera_merlin_burst_uncompressor" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:jtag_uart_avalon_jtag_slave_agent|altera_merlin_burst_uncompressor:uncompressor"
  2433. Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:jtag_uart_avalon_jtag_slave_agent_rsp_fifo"
  2434. Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:i2c_opencores_mipi_avalon_slave_0_agent_rdata_fifo"
  2435. Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:tpg_control_agent_rsp_fifo"
  2436. Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:tpg_control_agent_rdata_fifo"
  2437. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router:router"
  2438. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router:router|mipi_vip_mm_interconnect_0_router_default_decode:the_default_decode"
  2439. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_001:router_001"
  2440. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_001_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_001:router_001|mipi_vip_mm_interconnect_0_router_001_default_decode:the_default_decode"
  2441. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_002" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_002:router_002"
  2442. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_002_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_002:router_002|mipi_vip_mm_interconnect_0_router_002_default_decode:the_default_decode"
  2443. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_007" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_007:router_007"
  2444. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_007_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_007:router_007|mipi_vip_mm_interconnect_0_router_007_default_decode:the_default_decode"
  2445. Info (12128): Elaborating entity "altera_merlin_traffic_limiter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_traffic_limiter:nios2_gen2_data_master_limiter"
  2446. Info (12128): Elaborating entity "altera_merlin_traffic_limiter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_traffic_limiter:nios2_gen2_instruction_master_limiter"
  2447. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_demux:cmd_demux"
  2448. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_demux_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_demux_001:cmd_demux_001"
  2449. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux:cmd_mux"
  2450. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_mux_005" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux_005:cmd_mux_005"
  2451. Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux_005:cmd_mux_005|altera_merlin_arbitrator:arb"
  2452. Info (12128): Elaborating entity "altera_merlin_arb_adder" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux_005:cmd_mux_005|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder"
  2453. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_demux:rsp_demux"
  2454. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_demux_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_demux_001:rsp_demux_001"
  2455. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_demux_005" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_demux_005:rsp_demux_005"
  2456. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux:rsp_mux"
  2457. Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb"
  2458. Info (12128): Elaborating entity "altera_merlin_arb_adder" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder"
  2459. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_mux_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux_001:rsp_mux_001"
  2460. Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux_001:rsp_mux_001|altera_merlin_arbitrator:arb"
  2461. Info (12128): Elaborating entity "altera_avalon_st_handshake_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_st_handshake_clock_crosser:crosser"
  2462. Info (12128): Elaborating entity "altera_avalon_st_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_st_handshake_clock_crosser:crosser|altera_avalon_st_clock_crosser:clock_xer"
  2463. Info (12128): Elaborating entity "altera_std_synchronizer_nocut" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_st_handshake_clock_crosser:crosser|altera_avalon_st_clock_crosser:clock_xer|altera_std_synchronizer_nocut:in_to_out_synchronizer"
  2464. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter"
  2465. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter|mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0"
  2466. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1"
  2467. Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_translator:frame_buffer_mem_master_rd_translator"
  2468. Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_translator:frame_buffer_mem_master_wr_translator"
  2469. Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_slave_translator:mem_if_ddr3_emif_avl_translator"
  2470. Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_agent:frame_buffer_mem_master_rd_agent"
  2471. Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_agent:frame_buffer_mem_master_wr_agent"
  2472. Info (12128): Elaborating entity "altera_merlin_slave_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_slave_agent:mem_if_ddr3_emif_avl_agent"
  2473. Info (12128): Elaborating entity "altera_merlin_burst_uncompressor" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_slave_agent:mem_if_ddr3_emif_avl_agent|altera_merlin_burst_uncompressor:uncompressor"
  2474. Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_sc_fifo:mem_if_ddr3_emif_avl_agent_rsp_fifo"
  2475. Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_sc_fifo:mem_if_ddr3_emif_avl_agent_rdata_fifo"
  2476. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router:router"
  2477. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router:router|mipi_vip_mm_interconnect_1_router_default_decode:the_default_decode"
  2478. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router_002" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router_002:router_002"
  2479. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router_002_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router_002:router_002|mipi_vip_mm_interconnect_1_router_002_default_decode:the_default_decode"
  2480. Info (12128): Elaborating entity "altera_merlin_burst_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter"
  2481. Info (12128): Elaborating entity "altera_merlin_burst_adapter_13_1" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter"
  2482. Warning (10230): Verilog HDL assignment warning at altera_merlin_burst_adapter_13_1.sv(790): truncated value with size 11 to match size of target (1)
  2483. Info (12128): Elaborating entity "altera_merlin_address_alignment" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size"
  2484. Info (12128): Elaborating entity "altera_merlin_burst_adapter_burstwrap_increment" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment"
  2485. Info (12128): Elaborating entity "altera_merlin_burst_adapter_min" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min"
  2486. Info (12128): Elaborating entity "altera_merlin_burst_adapter_subtractor" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub"
  2487. Info (12128): Elaborating entity "altera_merlin_burst_adapter_adder" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract"
  2488. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_cmd_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_cmd_demux:cmd_demux"
  2489. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_cmd_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_cmd_mux:cmd_mux"
  2490. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_rsp_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_rsp_demux:rsp_demux"
  2491. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_rsp_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_rsp_mux:rsp_mux"
  2492. Info (12128): Elaborating entity "altera_merlin_width_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_width_adapter:mem_if_ddr3_emif_avl_rsp_width_adapter"
  2493. Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(283): object "in_write" assigned a value but never read
  2494. Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(742): object "aligned_addr" assigned a value but never read
  2495. Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(743): object "aligned_byte_cnt" assigned a value but never read
  2496. Info (12128): Elaborating entity "altera_merlin_width_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_width_adapter:mem_if_ddr3_emif_avl_cmd_width_adapter"
  2497. Info (12128): Elaborating entity "altera_avalon_st_handshake_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_st_handshake_clock_crosser:crosser"
  2498. Info (12128): Elaborating entity "altera_avalon_st_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_st_handshake_clock_crosser:crosser|altera_avalon_st_clock_crosser:clock_xer"
  2499. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_avalon_st_adapter:avalon_st_adapter"
  2500. Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_avalon_st_adapter:avalon_st_adapter|mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0:error_adapter_0"
  2501. Info (12128): Elaborating entity "mipi_vip_irq_mapper" for hierarchy "mipi_vip:u0|mipi_vip_irq_mapper:irq_mapper"
  2502. Info (12128): Elaborating entity "altera_irq_clock_crosser" for hierarchy "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer"
  2503. Info (12128): Elaborating entity "altera_std_synchronizer_bundle" for hierarchy "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync"
  2504. Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync"
  2505. Info (12133): Instantiated megafunction "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync" with the following parameter:
  2506. Info (12134): Parameter "depth" = "3"
  2507. Info (12134): Parameter "width" = "1"
  2508. Info (12134): Parameter "depth" = "3"
  2509. Info (12134): Parameter "width" = "1"
  2510. Info (12128): Elaborating entity "altera_std_synchronizer" for hierarchy "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync|altera_std_synchronizer:sync[0].u"
  2511. Info (12131): Elaborated megafunction instantiation "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync|altera_std_synchronizer:sync[0].u", which is child of megafunction instantiation "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync"
  2512. Info (12128): Elaborating entity "mipi_vip_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_avalon_st_adapter:avalon_st_adapter"
  2513. Info (12128): Elaborating entity "mipi_vip_avalon_st_adapter_timing_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_avalon_st_adapter:avalon_st_adapter|mipi_vip_avalon_st_adapter_timing_adapter_0:timing_adapter_0"
  2514. Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller"
  2515. Info (12128): Elaborating entity "altera_reset_synchronizer" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_sync_uq1"
  2516. Info (12128): Elaborating entity "altera_reset_synchronizer" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_req_sync_uq1"
  2517. Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller_002"
  2518. Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller_003"
  2519. Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller_005"
  2520. Warning (12125): Using design file mipi_cs2_stream.v, which is not specified as a design file for the current project, but contains definitions for 4 design units and 4 entities in project
  2521. Info (12023): Found entity 1: MIPI_D_PHY_x4_HS
  2522. Info (12023): Found entity 2: MIPI_STREAM
  2523. Info (12023): Found entity 3: MIPI_CS2
  2524. Info (12023): Found entity 4: mipi_cs2_stream
  2525. Info (12023): Found entity 1: MIPI_D_PHY_x4_HS
  2526. Info (12023): Found entity 2: MIPI_STREAM
  2527. Info (12023): Found entity 3: MIPI_CS2
  2528. Info (12023): Found entity 4: mipi_cs2_stream
  2529. Warning (10236): Verilog HDL Implicit Net warning at mipi_cs2_stream.v(679): created implicit net for "is_raw8_data"
  2530. Info (12128): Elaborating entity "mipi_cs2_stream" for hierarchy "mipi_cs2_stream:u1"
  2531. Info (12128): Elaborating entity "MIPI_D_PHY_x4_HS" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera"
  2532. Info (12128): Elaborating entity "mipi_lvds" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera|mipi_lvds:mipi_lvds_inst"
  2533. Info (12128): Elaborating entity "mipi_lvds_0002" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera|mipi_lvds:mipi_lvds_inst|mipi_lvds_0002:mipi_lvds_inst"
  2534. Info (12128): Elaborating entity "mipi_lvds_0002_lvds_ddio_in_7td" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera|mipi_lvds:mipi_lvds_inst|mipi_lvds_0002:mipi_lvds_inst|mipi_lvds_0002_lvds_ddio_in_7td:lvds_ddio_in1"
  2535. Info (12128): Elaborating entity "MIPI_CS2" for hierarchy "mipi_cs2_stream:u1|MIPI_CS2:camera_cs2"
  2536. Warning (12125): Using design file mipi_crc16.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
  2537. Info (12023): Found entity 1: mipi_crc16
  2538. Info (12023): Found entity 1: mipi_crc16
  2539. Info (12128): Elaborating entity "mipi_crc16" for hierarchy "mipi_cs2_stream:u1|MIPI_CS2:camera_cs2|mipi_crc16:mipi_crc16_inst"
  2540. Info (12128): Elaborating entity "MIPI_STREAM" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream"
  2541. Info (12128): Elaborating entity "stream_fifo" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi"
  2542. Info (12128): Elaborating entity "dcfifo" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component"
  2543. Info (12130): Elaborated megafunction instantiation "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component"
  2544. Info (12133): Instantiated megafunction "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component" with the following parameter:
  2545. Info (12134): Parameter "add_usedw_msb_bit" = "ON"
  2546. Info (12134): Parameter "intended_device_family" = "MAX 10"
  2547. Info (12134): Parameter "lpm_numwords" = "8192"
  2548. Info (12134): Parameter "lpm_showahead" = "ON"
  2549. Info (12134): Parameter "lpm_type" = "dcfifo"
  2550. Info (12134): Parameter "lpm_width" = "10"
  2551. Info (12134): Parameter "lpm_widthu" = "14"
  2552. Info (12134): Parameter "overflow_checking" = "ON"
  2553. Info (12134): Parameter "rdsync_delaypipe" = "5"
  2554. Info (12134): Parameter "read_aclr_synch" = "ON"
  2555. Info (12134): Parameter "underflow_checking" = "ON"
  2556. Info (12134): Parameter "use_eab" = "ON"
  2557. Info (12134): Parameter "write_aclr_synch" = "ON"
  2558. Info (12134): Parameter "wrsync_delaypipe" = "5"
  2559. Info (12134): Parameter "add_usedw_msb_bit" = "ON"
  2560. Info (12134): Parameter "intended_device_family" = "MAX 10"
  2561. Info (12134): Parameter "lpm_numwords" = "8192"
  2562. Info (12134): Parameter "lpm_showahead" = "ON"
  2563. Info (12134): Parameter "lpm_type" = "dcfifo"
  2564. Info (12134): Parameter "lpm_width" = "10"
  2565. Info (12134): Parameter "lpm_widthu" = "14"
  2566. Info (12134): Parameter "overflow_checking" = "ON"
  2567. Info (12134): Parameter "rdsync_delaypipe" = "5"
  2568. Info (12134): Parameter "read_aclr_synch" = "ON"
  2569. Info (12134): Parameter "underflow_checking" = "ON"
  2570. Info (12134): Parameter "use_eab" = "ON"
  2571. Info (12134): Parameter "write_aclr_synch" = "ON"
  2572. Info (12134): Parameter "wrsync_delaypipe" = "5"
  2573. Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_1sk1.tdf
  2574. Info (12023): Found entity 1: dcfifo_1sk1
  2575. Info (12023): Found entity 1: dcfifo_1sk1
  2576. Info (12128): Elaborating entity "dcfifo_1sk1" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated"
  2577. Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_vh6.tdf
  2578. Info (12023): Found entity 1: a_graycounter_vh6
  2579. Info (12023): Found entity 1: a_graycounter_vh6
  2580. Info (12128): Elaborating entity "a_graycounter_vh6" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|a_graycounter_vh6:rdptr_g1p"
  2581. Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_rvb.tdf
  2582. Info (12023): Found entity 1: a_graycounter_rvb
  2583. Info (12023): Found entity 1: a_graycounter_rvb
  2584. Info (12128): Elaborating entity "a_graycounter_rvb" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|a_graycounter_rvb:wrptr_g1p"
  2585. Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_f541.tdf
  2586. Info (12023): Found entity 1: altsyncram_f541
  2587. Info (12023): Found entity 1: altsyncram_f541
  2588. Info (12128): Elaborating entity "altsyncram_f541" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|altsyncram_f541:fifo_ram"
  2589. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_cpl.tdf
  2590. Info (12023): Found entity 1: alt_synch_pipe_cpl
  2591. Info (12023): Found entity 1: alt_synch_pipe_cpl
  2592. Info (12128): Elaborating entity "alt_synch_pipe_cpl" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_cpl:rs_dgwp"
  2593. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_te9.tdf
  2594. Info (12023): Found entity 1: dffpipe_te9
  2595. Info (12023): Found entity 1: dffpipe_te9
  2596. Info (12128): Elaborating entity "dffpipe_te9" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_cpl:rs_dgwp|dffpipe_te9:dffpipe12"
  2597. Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_dpl.tdf
  2598. Info (12023): Found entity 1: alt_synch_pipe_dpl
  2599. Info (12023): Found entity 1: alt_synch_pipe_dpl
  2600. Info (12128): Elaborating entity "alt_synch_pipe_dpl" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_dpl:ws_dgrp"
  2601. Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_ue9.tdf
  2602. Info (12023): Found entity 1: dffpipe_ue9
  2603. Info (12023): Found entity 1: dffpipe_ue9
  2604. Info (12128): Elaborating entity "dffpipe_ue9" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_dpl:ws_dgrp|dffpipe_ue9:dffpipe15"
  2605. Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_3h5.tdf
  2606. Info (12023): Found entity 1: cmpr_3h5
  2607. Info (12023): Found entity 1: cmpr_3h5
  2608. Info (12128): Elaborating entity "cmpr_3h5" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|cmpr_3h5:rdempty_eq_comp1_lsb"
  2609. Warning (12125): Using design file heart_beat.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
  2610. Info (12023): Found entity 1: heart_beat
  2611. Info (12023): Found entity 1: heart_beat
  2612. Info (12128): Elaborating entity "heart_beat" for hierarchy "heart_beat:heart_beat_pkg_clk"
  2613. Warning (10230): Verilog HDL assignment warning at heart_beat.v(18): truncated value with size 32 to match size of target (14)
  2614. Info (12128): Elaborating entity "heart_beat" for hierarchy "heart_beat:heart_beat_pixel_frame_valid"
  2615. Warning (10230): Verilog HDL assignment warning at heart_beat.v(18): truncated value with size 32 to match size of target (26)
  2616. Warning (12125): Using design file i2c_hdmi_config.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
  2617. Info (12023): Found entity 1: I2C_HDMI_Config
  2618. Info (12023): Found entity 1: I2C_HDMI_Config
  2619. Info (12128): Elaborating entity "I2C_HDMI_Config" for hierarchy "I2C_HDMI_Config:u2"
  2620. Warning (10230): Verilog HDL assignment warning at i2c_hdmi_config.v(45): truncated value with size 32 to match size of target (16)
  2621. Warning (10230): Verilog HDL assignment warning at i2c_hdmi_config.v(93): truncated value with size 32 to match size of target (6)
  2622. Warning (12125): Using design file i2c_controller.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
  2623. Info (12023): Found entity 1: I2C_Controller
  2624. Info (12023): Found entity 1: I2C_Controller
  2625. Info (12128): Elaborating entity "I2C_Controller" for hierarchy "I2C_HDMI_Config:u2|I2C_Controller:u0"
  2626. Warning (10230): Verilog HDL assignment warning at i2c_controller.v(78): truncated value with size 32 to match size of target (1)
  2627. Warning (10230): Verilog HDL assignment warning at i2c_controller.v(77): truncated value with size 32 to match size of target (1)
  2628. Warning (10230): Verilog HDL assignment warning at i2c_controller.v(90): truncated value with size 32 to match size of target (6)
  2629. Error (12002): Port "rx_outclock" does not exist in macrofunction "mipi_lvds_inst"
  2630. Warning (12020): Port "data0x" on the entity instantiation of "add2_avg4" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2631. Warning (12020): Port "data1x" on the entity instantiation of "add2_avg4" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2632. Warning (12020): Port "data0x" on the entity instantiation of "add2_avg3" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2633. Warning (12020): Port "data1x" on the entity instantiation of "add2_avg3" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2634. Warning (12020): Port "data0x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2635. Warning (12020): Port "data1x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2636. Warning (12020): Port "data2x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2637. Warning (12020): Port "data3x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2638. Warning (12020): Port "data0x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2639. Warning (12020): Port "data1x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2640. Warning (12020): Port "data2x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2641. Warning (12020): Port "data3x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2642. Warning (12020): Port "BAYER_HEIGHT" on the entity instantiation of "Bayer2RGB_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2643. Warning (12020): Port "BAYER_WIDTH" on the entity instantiation of "Bayer2RGB_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored.
  2644. Warning (12030): Port "RGB_VALID" on the entity instantiation of "Bayer2RGB_inst" is connected to a signal of width 12. The formal width of the signal in the module is 1. The extra bits will be left dangling without any fan-out logic.
  2645. Warning (12020): Port "jdo" on the entity instantiation of "the_mipi_vip_nios2_gen2_cpu_nios2_oci_itrace" is connected to a signal of width 38. The formal width of the signal in the module is 16. The extra bits will be ignored.
  2646. Warning (12241): 35 hierarchies have connectivity warnings - see the Connectivity Checks report folder
  2647. Info (144001): Generated suppressed messages file C:/Users/Elliott Tech/Desktop/Altera/workspace/8_MIPI_to_HDMI_Terasic/output_files/mipi_to_hdmi_terasic.map.smsg
  2648. Error: Quartus Prime Analysis & Synthesis was unsuccessful. 1 error, 144 warnings
  2649. Error: Peak virtual memory: 1384 megabytes
  2650. Error: Processing ended: Tue Jan 19 16:33:52 2016
  2651. Error: Elapsed time: 00:01:34
  2652. Error: Total CPU time (on all processors): 00:01:27
  2653. Error: Peak virtual memory: 1384 megabytes
  2654. Error: Processing ended: Tue Jan 19 16:33:52 2016
  2655. Error: Elapsed time: 00:01:34
  2656. Error: Total CPU time (on all processors): 00:01:27
  2657. Error (293001): Quartus Prime Full Compilation was unsuccessful. 3 errors, 144 warnings
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement