Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- Info: *******************************************************************
- Info: Running Quartus Prime Analysis & Synthesis
- Info: Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
- Info: Processing started: Tue Jan 19 16:32:18 2016
- Info: Version 15.1.0 Build 185 10/21/2015 SJ Lite Edition
- Info: Processing started: Tue Jan 19 16:32:18 2016
- Info: Command: quartus_map --read_settings_files=on --write_settings_files=off mipi_to_hdmi_terasic -c mipi_to_hdmi_terasic
- Info: Using INI file C:/Users/Elliott Tech/Desktop/Altera/workspace/8_MIPI_to_HDMI_Terasic/quartus.ini
- Info (16303): Aggressive Performance optimization mode selected -- timing performance will be prioritized at the potential cost of increased logic area and compilation time
- Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/mipi_vip.v
- Info (12023): Found entity 1: mipi_vip
- Info (12023): Found entity 1: mipi_vip
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_reset_controller.v
- Info (12023): Found entity 1: altera_reset_controller
- Info (12023): Found entity 1: altera_reset_controller
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_reset_synchronizer.v
- Info (12023): Found entity 1: altera_reset_synchronizer
- Info (12023): Found entity 1: altera_reset_synchronizer
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_avalon_st_adapter.v
- Info (12023): Found entity 1: mipi_vip_avalon_st_adapter
- Info (12023): Found entity 1: mipi_vip_avalon_st_adapter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_avalon_st_adapter_timing_adapter_0.sv
- Info (12023): Found entity 1: mipi_vip_avalon_st_adapter_timing_adapter_0
- Info (12023): Found entity 1: mipi_vip_avalon_st_adapter_timing_adapter_0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_irq_clock_crosser.sv
- Info (12023): Found entity 1: altera_irq_clock_crosser
- Info (12023): Found entity 1: altera_irq_clock_crosser
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_irq_mapper.sv
- Info (12023): Found entity 1: mipi_vip_irq_mapper
- Info (12023): Found entity 1: mipi_vip_irq_mapper
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1.v
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_avalon_st_adapter.v
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_handshake_clock_crosser.v
- Info (12023): Found entity 1: altera_avalon_st_handshake_clock_crosser
- Info (12023): Found entity 1: altera_avalon_st_handshake_clock_crosser
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_clock_crosser.v
- Info (12023): Found entity 1: altera_avalon_st_clock_crosser
- Info (12023): Found entity 1: altera_avalon_st_clock_crosser
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_pipeline_base.v
- Info (12023): Found entity 1: altera_avalon_st_pipeline_base
- Info (12023): Found entity 1: altera_avalon_st_pipeline_base
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_std_synchronizer_nocut.v
- Info (12023): Found entity 1: altera_std_synchronizer_nocut
- Info (12023): Found entity 1: altera_std_synchronizer_nocut
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_width_adapter.sv
- Info (12023): Found entity 1: altera_merlin_width_adapter
- Info (12023): Found entity 1: altera_merlin_width_adapter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_address_alignment.sv
- Info (12023): Found entity 1: altera_merlin_address_alignment
- Info (12023): Found entity 1: altera_merlin_address_alignment
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_uncompressor.sv
- Info (12023): Found entity 1: altera_merlin_burst_uncompressor
- Info (12023): Found entity 1: altera_merlin_burst_uncompressor
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_arbitrator.sv
- Info (12023): Found entity 1: altera_merlin_arbitrator
- Info (12023): Found entity 2: altera_merlin_arb_adder
- Info (12023): Found entity 1: altera_merlin_arbitrator
- Info (12023): Found entity 2: altera_merlin_arb_adder
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_rsp_mux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_mux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_mux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_rsp_demux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_demux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_rsp_demux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_cmd_mux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_mux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_mux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_cmd_demux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_demux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_cmd_demux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter.sv
- Info (12023): Found entity 1: altera_merlin_burst_adapter
- Info (12023): Found entity 1: altera_merlin_burst_adapter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter_uncmpr.sv
- Info (12023): Found entity 1: altera_merlin_burst_adapter_uncompressed_only
- Info (12023): Found entity 1: altera_merlin_burst_adapter_uncompressed_only
- Info (12021): Found 5 design units, including 5 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter_13_1.sv
- Info (12023): Found entity 1: altera_merlin_burst_adapter_burstwrap_increment
- Info (12023): Found entity 2: altera_merlin_burst_adapter_adder
- Info (12023): Found entity 3: altera_merlin_burst_adapter_subtractor
- Info (12023): Found entity 4: altera_merlin_burst_adapter_min
- Info (12023): Found entity 5: altera_merlin_burst_adapter_13_1
- Info (12023): Found entity 1: altera_merlin_burst_adapter_burstwrap_increment
- Info (12023): Found entity 2: altera_merlin_burst_adapter_adder
- Info (12023): Found entity 3: altera_merlin_burst_adapter_subtractor
- Info (12023): Found entity 4: altera_merlin_burst_adapter_min
- Info (12023): Found entity 5: altera_merlin_burst_adapter_13_1
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_burst_adapter_new.sv
- Info (12023): Found entity 1: altera_merlin_burst_adapter_new
- Info (12023): Found entity 1: altera_merlin_burst_adapter_new
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_incr_burst_converter.sv
- Info (12023): Found entity 1: altera_incr_burst_converter
- Info (12023): Found entity 1: altera_incr_burst_converter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_wrap_burst_converter.sv
- Info (12023): Found entity 1: altera_wrap_burst_converter
- Info (12023): Found entity 1: altera_wrap_burst_converter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_default_burst_converter.sv
- Info (12023): Found entity 1: altera_default_burst_converter
- Info (12023): Found entity 1: altera_default_burst_converter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_st_pipeline_stage.sv
- Info (12023): Found entity 1: altera_avalon_st_pipeline_stage
- Info (12023): Found entity 1: altera_avalon_st_pipeline_stage
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_router_002.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_002_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router_002
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_002_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router_002
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_1_router.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_1_router_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_1_router
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_avalon_sc_fifo.v
- Info (12023): Found entity 1: altera_avalon_sc_fifo
- Info (12023): Found entity 1: altera_avalon_sc_fifo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_slave_agent.sv
- Info (12023): Found entity 1: altera_merlin_slave_agent
- Info (12023): Found entity 1: altera_merlin_slave_agent
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_master_agent.sv
- Info (12023): Found entity 1: altera_merlin_master_agent
- Info (12023): Found entity 1: altera_merlin_master_agent
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_slave_translator.sv
- Info (12023): Found entity 1: altera_merlin_slave_translator
- Info (12023): Found entity 1: altera_merlin_slave_translator
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_master_translator.sv
- Info (12023): Found entity 1: altera_merlin_master_translator
- Info (12023): Found entity 1: altera_merlin_master_translator
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0.v
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_avalon_st_adapter.v
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_mux_001.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux_001
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux_001
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_mux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_mux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_demux_005.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_005
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_005
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_demux_001.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_001
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux_001
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_rsp_demux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_rsp_demux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_mux_005.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux_005
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux_005
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_mux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_mux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_demux_001.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux_001
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux_001
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_cmd_demux.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_cmd_demux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_traffic_limiter.sv
- Info (12023): Found entity 1: altera_merlin_traffic_limiter
- Info (12023): Found entity 1: altera_merlin_traffic_limiter
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/altera_merlin_reorder_memory.sv
- Info (12023): Found entity 1: altera_merlin_reorder_memory
- Info (12023): Found entity 2: memory_pointer_controller
- Info (12023): Found entity 1: altera_merlin_reorder_memory
- Info (12023): Found entity 2: memory_pointer_controller
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router_007.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_007_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_007
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_007_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_007
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router_002.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_002_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_002
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_002_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_002
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router_001.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_001_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_001
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_001_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router_001
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mm_interconnect_0_router.sv
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router
- Info (12023): Found entity 1: mipi_vip_mm_interconnect_0_router_default_decode
- Info (12023): Found entity 2: mipi_vip_mm_interconnect_0_router
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_vip_mixer.v
- Info (12023): Found entity 1: mipi_vip_vip_mixer
- Info (12023): Found entity 1: mipi_vip_vip_mixer
- Info (12021): Found 1 design units, including 0 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_pkg.sv
- Info (12022): Found design unit 1: alt_vip_common_pkg (SystemVerilog) (mipi_vip)
- Info (12022): Found design unit 1: alt_vip_common_pkg (SystemVerilog) (mipi_vip)
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_event_packet_decode.sv
- Info (12023): Found entity 1: alt_vip_common_event_packet_decode
- Info (12023): Found entity 1: alt_vip_common_event_packet_decode
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_event_packet_encode.sv
- Info (12023): Found entity 1: alt_vip_common_event_packet_encode
- Info (12023): Found entity 1: alt_vip_common_event_packet_encode
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_control_slave.sv
- Info (12023): Found entity 1: alt_vip_control_slave
- Info (12023): Found entity 1: alt_vip_control_slave
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_mix_scheduler.sv
- Info (12023): Found entity 1: alt_vip_mix_scheduler
- Info (12023): Found entity 1: alt_vip_mix_scheduler
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_latency_0_to_latency_1.sv
- Info (12023): Found entity 1: alt_vip_common_latency_0_to_latency_1
- Info (12023): Found entity 1: alt_vip_common_latency_0_to_latency_1
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_video_packet_empty.sv
- Info (12023): Found entity 1: alt_vip_common_video_packet_empty
- Info (12023): Found entity 1: alt_vip_common_video_packet_empty
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_video_packet_encode.sv
- Info (12023): Found entity 1: alt_vip_common_video_packet_encode
- Info (12023): Found entity 1: alt_vip_common_video_packet_encode
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_video_output_bridge.sv
- Info (12023): Found entity 1: alt_vip_video_output_bridge
- Info (12023): Found entity 1: alt_vip_video_output_bridge
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_mix_alg_core.sv
- Info (12023): Found entity 1: alt_vip_mix_alg_core
- Info (12023): Found entity 1: alt_vip_mix_alg_core
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_tpg_core.sv
- Info (12023): Found entity 1: alt_vip_tpg_core
- Info (12023): Found entity 1: alt_vip_tpg_core
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_latency_1_to_latency_0.sv
- Info (12023): Found entity 1: alt_vip_common_latency_1_to_latency_0
- Info (12023): Found entity 1: alt_vip_common_latency_1_to_latency_0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_video_packet_decode.sv
- Info (12023): Found entity 1: alt_vip_common_video_packet_decode
- Info (12023): Found entity 1: alt_vip_common_video_packet_decode
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_video_input_bridge.sv
- Info (12023): Found entity 1: alt_vip_video_input_bridge
- Info (12023): Found entity 1: alt_vip_video_input_bridge
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_tpg.v
- Info (12023): Found entity 1: mipi_vip_tpg
- Info (12023): Found entity 1: mipi_vip_tpg
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_tpg_scheduler.sv
- Info (12023): Found entity 1: alt_vip_tpg_scheduler
- Info (12023): Found entity 1: alt_vip_tpg_scheduler
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_timer.v
- Info (12023): Found entity 1: mipi_vip_timer
- Info (12023): Found entity 1: mipi_vip_timer
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/bayer_linebuffer.v
- Info (12023): Found entity 1: Bayer_LineBuffer
- Info (12023): Found entity 1: Bayer_LineBuffer
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/terasic_bayer2rgb.v
- Info (12023): Found entity 1: Bayer2RGB
- Info (12023): Found entity 2: TERASIC_Bayer2RGB
- Info (12023): Found entity 1: Bayer2RGB
- Info (12023): Found entity 2: TERASIC_Bayer2RGB
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/add2.v
- Info (12023): Found entity 1: add2
- Info (12023): Found entity 1: add2
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/add4.v
- Info (12023): Found entity 1: add4
- Info (12023): Found entity 1: add4
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rgb_fifo.v
- Info (12023): Found entity 1: rgb_fifo
- Info (12023): Found entity 1: rgb_fifo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_sysid_qsys.v
- Info (12023): Found entity 1: mipi_vip_sysid_qsys
- Info (12023): Found entity 1: mipi_vip_sysid_qsys
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_sw.v
- Info (12023): Found entity 1: mipi_vip_sw
- Info (12023): Found entity 1: mipi_vip_sw
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_onchip_memory2.v
- Info (12023): Found entity 1: mipi_vip_onchip_memory2
- Info (12023): Found entity 1: mipi_vip_onchip_memory2
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2.v
- Info (12023): Found entity 1: mipi_vip_nios2_gen2
- Info (12023): Found entity 1: mipi_vip_nios2_gen2
- Info (12021): Found 27 design units, including 27 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu.v
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_ic_data_module
- Info (12023): Found entity 2: mipi_vip_nios2_gen2_cpu_ic_tag_module
- Info (12023): Found entity 3: mipi_vip_nios2_gen2_cpu_bht_module
- Info (12023): Found entity 4: mipi_vip_nios2_gen2_cpu_register_bank_a_module
- Info (12023): Found entity 5: mipi_vip_nios2_gen2_cpu_register_bank_b_module
- Info (12023): Found entity 6: mipi_vip_nios2_gen2_cpu_dc_tag_module
- Info (12023): Found entity 7: mipi_vip_nios2_gen2_cpu_dc_data_module
- Info (12023): Found entity 8: mipi_vip_nios2_gen2_cpu_dc_victim_module
- Info (12023): Found entity 9: mipi_vip_nios2_gen2_cpu_nios2_oci_debug
- Info (12023): Found entity 10: mipi_vip_nios2_gen2_cpu_nios2_oci_break
- Info (12023): Found entity 11: mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk
- Info (12023): Found entity 12: mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk
- Info (12023): Found entity 13: mipi_vip_nios2_gen2_cpu_nios2_oci_itrace
- Info (12023): Found entity 14: mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode
- Info (12023): Found entity 15: mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace
- Info (12023): Found entity 16: mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt
- Info (12023): Found entity 17: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc
- Info (12023): Found entity 18: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc
- Info (12023): Found entity 19: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo
- Info (12023): Found entity 20: mipi_vip_nios2_gen2_cpu_nios2_oci_pib
- Info (12023): Found entity 21: mipi_vip_nios2_gen2_cpu_nios2_oci_im
- Info (12023): Found entity 22: mipi_vip_nios2_gen2_cpu_nios2_performance_monitors
- Info (12023): Found entity 23: mipi_vip_nios2_gen2_cpu_nios2_avalon_reg
- Info (12023): Found entity 24: mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module
- Info (12023): Found entity 25: mipi_vip_nios2_gen2_cpu_nios2_ocimem
- Info (12023): Found entity 26: mipi_vip_nios2_gen2_cpu_nios2_oci
- Info (12023): Found entity 27: mipi_vip_nios2_gen2_cpu
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_ic_data_module
- Info (12023): Found entity 2: mipi_vip_nios2_gen2_cpu_ic_tag_module
- Info (12023): Found entity 3: mipi_vip_nios2_gen2_cpu_bht_module
- Info (12023): Found entity 4: mipi_vip_nios2_gen2_cpu_register_bank_a_module
- Info (12023): Found entity 5: mipi_vip_nios2_gen2_cpu_register_bank_b_module
- Info (12023): Found entity 6: mipi_vip_nios2_gen2_cpu_dc_tag_module
- Info (12023): Found entity 7: mipi_vip_nios2_gen2_cpu_dc_data_module
- Info (12023): Found entity 8: mipi_vip_nios2_gen2_cpu_dc_victim_module
- Info (12023): Found entity 9: mipi_vip_nios2_gen2_cpu_nios2_oci_debug
- Info (12023): Found entity 10: mipi_vip_nios2_gen2_cpu_nios2_oci_break
- Info (12023): Found entity 11: mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk
- Info (12023): Found entity 12: mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk
- Info (12023): Found entity 13: mipi_vip_nios2_gen2_cpu_nios2_oci_itrace
- Info (12023): Found entity 14: mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode
- Info (12023): Found entity 15: mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace
- Info (12023): Found entity 16: mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt
- Info (12023): Found entity 17: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc
- Info (12023): Found entity 18: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc
- Info (12023): Found entity 19: mipi_vip_nios2_gen2_cpu_nios2_oci_fifo
- Info (12023): Found entity 20: mipi_vip_nios2_gen2_cpu_nios2_oci_pib
- Info (12023): Found entity 21: mipi_vip_nios2_gen2_cpu_nios2_oci_im
- Info (12023): Found entity 22: mipi_vip_nios2_gen2_cpu_nios2_performance_monitors
- Info (12023): Found entity 23: mipi_vip_nios2_gen2_cpu_nios2_avalon_reg
- Info (12023): Found entity 24: mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module
- Info (12023): Found entity 25: mipi_vip_nios2_gen2_cpu_nios2_ocimem
- Info (12023): Found entity 26: mipi_vip_nios2_gen2_cpu_nios2_oci
- Info (12023): Found entity 27: mipi_vip_nios2_gen2_cpu
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_debug_slave_sysclk.v
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_sysclk
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_sysclk
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_debug_slave_tck.v
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_tck
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_tck
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_debug_slave_wrapper.v
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_wrapper
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_debug_slave_wrapper
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_mult_cell.v
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_mult_cell
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_mult_cell
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_nios2_gen2_cpu_test_bench.v
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_test_bench
- Info (12023): Found entity 1: mipi_vip_nios2_gen2_cpu_test_bench
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mipi_core_en.v
- Info (12023): Found entity 1: mipi_vip_mipi_core_en
- Info (12023): Found entity 1: mipi_vip_mipi_core_en
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_c0.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_c0
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_c0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_mm_st_converter.v
- Info (12023): Found entity 1: alt_mem_ddrx_mm_st_converter
- Info (12023): Found entity 1: alt_mem_ddrx_mm_st_converter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_addr_cmd.v
- Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd
- Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_addr_cmd_wrap.v
- Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd_wrap
- Info (12023): Found entity 1: alt_mem_ddrx_addr_cmd_wrap
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ddr2_odt_gen.v
- Info (12023): Found entity 1: alt_mem_ddrx_ddr2_odt_gen
- Info (12023): Found entity 1: alt_mem_ddrx_ddr2_odt_gen
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ddr3_odt_gen.v
- Info (12023): Found entity 1: alt_mem_ddrx_ddr3_odt_gen
- Info (12023): Found entity 1: alt_mem_ddrx_ddr3_odt_gen
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_lpddr2_addr_cmd.v
- Info (12023): Found entity 1: alt_mem_ddrx_lpddr2_addr_cmd
- Info (12023): Found entity 1: alt_mem_ddrx_lpddr2_addr_cmd
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_odt_gen.v
- Info (12023): Found entity 1: alt_mem_ddrx_odt_gen
- Info (12023): Found entity 1: alt_mem_ddrx_odt_gen
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_rdwr_data_tmg.v
- Info (12023): Found entity 1: alt_mem_ddrx_rdwr_data_tmg
- Info (12023): Found entity 1: alt_mem_ddrx_rdwr_data_tmg
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_arbiter.v
- Info (12023): Found entity 1: alt_mem_ddrx_arbiter
- Info (12023): Found entity 1: alt_mem_ddrx_arbiter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_burst_gen.v
- Info (12023): Found entity 1: alt_mem_ddrx_burst_gen
- Info (12023): Found entity 1: alt_mem_ddrx_burst_gen
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_cmd_gen.v
- Info (12023): Found entity 1: alt_mem_ddrx_cmd_gen
- Info (12023): Found entity 1: alt_mem_ddrx_cmd_gen
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_csr.v
- Info (12023): Found entity 1: alt_mem_ddrx_csr
- Info (12023): Found entity 1: alt_mem_ddrx_csr
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_buffer.v
- Info (12023): Found entity 1: alt_mem_ddrx_buffer
- Info (12023): Found entity 1: alt_mem_ddrx_buffer
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_buffer_manager.v
- Info (12023): Found entity 1: alt_mem_ddrx_buffer_manager
- Info (12023): Found entity 1: alt_mem_ddrx_buffer_manager
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_burst_tracking.v
- Info (12023): Found entity 1: alt_mem_ddrx_burst_tracking
- Info (12023): Found entity 1: alt_mem_ddrx_burst_tracking
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_dataid_manager.v
- Info (12023): Found entity 1: alt_mem_ddrx_dataid_manager
- Info (12023): Found entity 1: alt_mem_ddrx_dataid_manager
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_fifo.v
- Info (12023): Found entity 1: alt_mem_ddrx_fifo
- Info (12023): Found entity 1: alt_mem_ddrx_fifo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_list.v
- Info (12023): Found entity 1: alt_mem_ddrx_list
- Info (12023): Found entity 1: alt_mem_ddrx_list
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_rdata_path.v
- Info (12023): Found entity 1: alt_mem_ddrx_rdata_path
- Info (12023): Found entity 1: alt_mem_ddrx_rdata_path
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_wdata_path.v
- Info (12023): Found entity 1: alt_mem_ddrx_wdata_path
- Info (12023): Found entity 1: alt_mem_ddrx_wdata_path
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_decoder.v
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder
- Info (12021): Found 3 design units, including 3 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_decoder_32_syn.v
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_32_decode
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_32_altecc_decoder
- Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_32
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_32_decode
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_32_altecc_decoder
- Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_32
- Info (12021): Found 3 design units, including 3 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_decoder_64_syn.v
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_64_decode
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_64_altecc_decoder
- Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_64
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_decoder_64_decode
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_decoder_64_altecc_decoder
- Info (12023): Found entity 3: alt_mem_ddrx_ecc_decoder_64
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder.v
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder_32_syn.v
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_32_altecc_encoder
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_32
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_32_altecc_encoder
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_32
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder_64_syn.v
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_64_altecc_encoder
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_64
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_64_altecc_encoder
- Info (12023): Found entity 2: alt_mem_ddrx_ecc_encoder_64
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_decoder_wrapper
- Info (12023): Found entity 1: alt_mem_ddrx_ecc_encoder_decoder_wrapper
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_axi_st_converter.v
- Info (12023): Found entity 1: alt_mem_ddrx_axi_st_converter
- Info (12023): Found entity 1: alt_mem_ddrx_axi_st_converter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_input_if.v
- Info (12023): Found entity 1: alt_mem_ddrx_input_if
- Info (12023): Found entity 1: alt_mem_ddrx_input_if
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_rank_timer.v
- Info (12023): Found entity 1: alt_mem_ddrx_rank_timer
- Info (12023): Found entity 1: alt_mem_ddrx_rank_timer
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_sideband.v
- Info (12023): Found entity 1: alt_mem_ddrx_sideband
- Info (12023): Found entity 1: alt_mem_ddrx_sideband
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_tbp.v
- Info (12023): Found entity 1: alt_mem_ddrx_tbp
- Info (12023): Found entity 1: alt_mem_ddrx_tbp
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_timing_param.v
- Info (12023): Found entity 1: alt_mem_ddrx_timing_param
- Info (12023): Found entity 1: alt_mem_ddrx_timing_param
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_controller.v
- Info (12023): Found entity 1: alt_mem_ddrx_controller
- Info (12023): Found entity 1: alt_mem_ddrx_controller
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_ddrx_controller_st_top.v
- Info (12023): Found entity 1: alt_mem_ddrx_controller_st_top
- Info (12023): Found entity 1: alt_mem_ddrx_controller_st_top
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_mem_if_nextgen_ddr3_controller_core.sv
- Info (12023): Found entity 1: alt_mem_if_nextgen_ddr3_controller_core
- Info (12023): Found entity 1: alt_mem_if_nextgen_ddr3_controller_core
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/altera_mem_if_sequencer_rst.sv
- Info (12023): Found entity 1: altera_mem_if_sequencer_rst
- Info (12023): Found entity 1: altera_mem_if_sequencer_rst
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode
- Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode
- Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode
- Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode
- Info (12023): Found entity 2: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ac_rom_reg.v
- Info (12023): Found entity 1: rw_manager_ac_ROM_reg
- Info (12023): Found entity 1: rw_manager_ac_ROM_reg
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_bitcheck.v
- Info (12023): Found entity 1: rw_manager_bitcheck
- Info (12023): Found entity 1: rw_manager_bitcheck
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_core.sv
- Info (12023): Found entity 1: rw_manager_core
- Info (12023): Found entity 1: rw_manager_core
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_datamux.v
- Info (12023): Found entity 1: rw_manager_datamux
- Info (12023): Found entity 1: rw_manager_datamux
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_data_broadcast.v
- Info (12023): Found entity 1: rw_manager_data_broadcast
- Info (12023): Found entity 1: rw_manager_data_broadcast
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_data_decoder.v
- Info (12023): Found entity 1: rw_manager_data_decoder
- Info (12023): Found entity 1: rw_manager_data_decoder
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ddr3.v
- Info (12023): Found entity 1: rw_manager_ddr3
- Info (12023): Found entity 1: rw_manager_ddr3
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_di_buffer.v
- Info (12023): Found entity 1: rw_manager_di_buffer
- Info (12023): Found entity 1: rw_manager_di_buffer
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_di_buffer_wrap.v
- Info (12023): Found entity 1: rw_manager_di_buffer_wrap
- Info (12023): Found entity 1: rw_manager_di_buffer_wrap
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_dm_decoder.v
- Info (12023): Found entity 1: rw_manager_dm_decoder
- Info (12023): Found entity 1: rw_manager_dm_decoder
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_generic.sv
- Info (12023): Found entity 1: rw_manager_generic
- Info (12023): Found entity 1: rw_manager_generic
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_inst_rom_reg.v
- Info (12023): Found entity 1: rw_manager_inst_ROM_reg
- Info (12023): Found entity 1: rw_manager_inst_ROM_reg
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_jumplogic.v
- Info (12023): Found entity 1: rw_manager_jumplogic
- Info (12023): Found entity 1: rw_manager_jumplogic
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_lfsr12.v
- Info (12023): Found entity 1: rw_manager_lfsr12
- Info (12023): Found entity 1: rw_manager_lfsr12
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_lfsr36.v
- Info (12023): Found entity 1: rw_manager_lfsr36
- Info (12023): Found entity 1: rw_manager_lfsr36
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_lfsr72.v
- Info (12023): Found entity 1: rw_manager_lfsr72
- Info (12023): Found entity 1: rw_manager_lfsr72
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_pattern_fifo.v
- Info (12023): Found entity 1: rw_manager_pattern_fifo
- Info (12023): Found entity 1: rw_manager_pattern_fifo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ram.v
- Info (12023): Found entity 1: rw_manager_ram
- Info (12023): Found entity 1: rw_manager_ram
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_ram_csr.v
- Info (12023): Found entity 1: rw_manager_ram_csr
- Info (12023): Found entity 1: rw_manager_ram_csr
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_read_datapath.v
- Info (12023): Found entity 1: rw_manager_read_datapath
- Info (12023): Found entity 1: rw_manager_read_datapath
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_write_decoder.v
- Info (12023): Found entity 1: rw_manager_write_decoder
- Info (12023): Found entity 1: rw_manager_write_decoder
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/sequencer_m10.sv
- Info (12023): Found entity 1: sequencer_m10
- Info (12023): Found entity 1: sequencer_m10
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/sequencer_phy_mgr.sv
- Info (12023): Found entity 1: sequencer_phy_mgr
- Info (12023): Found entity 1: sequencer_phy_mgr
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/sequencer_pll_mgr.sv
- Info (12023): Found entity 1: sequencer_pll_mgr
- Info (12023): Found entity 1: sequencer_pll_mgr
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_m10_ac_rom.v
- Info (12023): Found entity 1: rw_manager_m10_ac_ROM
- Info (12023): Found entity 1: rw_manager_m10_ac_ROM
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/rw_manager_m10_inst_rom.v
- Info (12023): Found entity 1: rw_manager_m10_inst_ROM
- Info (12023): Found entity 1: rw_manager_m10_inst_ROM
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/afi_mux_ddr3_ddrx.v
- Info (12023): Found entity 1: afi_mux_ddr3_ddrx
- Info (12023): Found entity 1: afi_mux_ddr3_ddrx
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_clock_pair_generator.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_clock_pair_generator
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_clock_pair_generator
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_read_valid_selector.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_valid_selector
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_valid_selector
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_reset_m10.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_m10
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_m10
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_memphy_m10.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_memphy_m10
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_memphy_m10
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_reset_sync.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_sync
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_reset_sync
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10
- Warning (10238): Verilog Module Declaration warning at mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10.v(33): ignored anonymous port(s) indicated by duplicate or dangling comma(s) in the port list for module "mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10"
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/max10emif_dcfifo.sv
- Info (12023): Found entity 1: max10emif_dcfifo
- Info (12023): Found entity 1: max10emif_dcfifo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_iss_probe.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_iss_probe
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_iss_probe
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10
- Info (12023): Found entity 2: addr_cmd_pad_m10
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10
- Info (12023): Found entity 2: addr_cmd_pad_m10
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0_flop_mem.v
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_flop_mem
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0_flop_mem
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_p0.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_p0
- Info (12021): Found 2 design units, including 2 entities, in source file mipi_vip/synthesis/submodules/altera_gpio_lite.sv
- Info (12023): Found entity 1: altgpio_one_bit
- Info (12023): Found entity 2: altera_gpio_lite
- Info (12023): Found entity 1: altgpio_one_bit
- Info (12023): Found entity 2: altera_gpio_lite
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_mem_if_ddr3_emif_pll0.sv
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_pll0
- Info (12023): Found entity 1: mipi_vip_mem_if_ddr3_emif_pll0
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_led.v
- Info (12023): Found entity 1: mipi_vip_led
- Info (12023): Found entity 1: mipi_vip_led
- Info (12021): Found 5 design units, including 5 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_jtag_uart.v
- Info (12023): Found entity 1: mipi_vip_jtag_uart_sim_scfifo_w
- Info (12023): Found entity 2: mipi_vip_jtag_uart_scfifo_w
- Info (12023): Found entity 3: mipi_vip_jtag_uart_sim_scfifo_r
- Info (12023): Found entity 4: mipi_vip_jtag_uart_scfifo_r
- Info (12023): Found entity 5: mipi_vip_jtag_uart
- Info (12023): Found entity 1: mipi_vip_jtag_uart_sim_scfifo_w
- Info (12023): Found entity 2: mipi_vip_jtag_uart_scfifo_w
- Info (12023): Found entity 3: mipi_vip_jtag_uart_sim_scfifo_r
- Info (12023): Found entity 4: mipi_vip_jtag_uart_scfifo_r
- Info (12023): Found entity 5: mipi_vip_jtag_uart
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_opencores.v
- Info (12023): Found entity 1: i2c_opencores
- Info (12023): Found entity 1: i2c_opencores
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_master_top.v
- Info (12023): Found entity 1: i2c_master_top
- Info (12023): Found entity 1: i2c_master_top
- Info (12021): Found 0 design units, including 0 entities, in source file mipi_vip/synthesis/submodules/i2c_master_defines.v
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_master_byte_ctrl.v
- Info (12023): Found entity 1: i2c_master_byte_ctrl
- Info (12023): Found entity 1: i2c_master_byte_ctrl
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/i2c_master_bit_ctrl.v
- Info (12023): Found entity 1: i2c_master_bit_ctrl
- Info (12023): Found entity 1: i2c_master_bit_ctrl
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_hdmi_cvo.v
- Info (12023): Found entity 1: mipi_vip_hdmi_cvo
- Info (12023): Found entity 1: mipi_vip_hdmi_cvo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_fifo.v
- Info (12023): Found entity 1: alt_vip_common_fifo
- Info (12023): Found entity 1: alt_vip_common_fifo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_generic_step_count.v
- Info (12023): Found entity 1: alt_vip_common_generic_step_count
- Info (12023): Found entity 1: alt_vip_common_generic_step_count
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_to_binary.v
- Info (12023): Found entity 1: alt_vip_common_to_binary
- Info (12023): Found entity 1: alt_vip_common_to_binary
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_sync.v
- Info (12023): Found entity 1: alt_vip_common_sync
- Info (12023): Found entity 1: alt_vip_common_sync
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_trigger_sync.v
- Info (12023): Found entity 1: alt_vip_common_trigger_sync
- Info (12023): Found entity 1: alt_vip_common_trigger_sync
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_sync_generation.v
- Info (12023): Found entity 1: alt_vip_common_sync_generation
- Info (12023): Found entity 1: alt_vip_common_sync_generation
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_frame_counter.v
- Info (12023): Found entity 1: alt_vip_common_frame_counter
- Info (12023): Found entity 1: alt_vip_common_frame_counter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_sample_counter.v
- Info (12023): Found entity 1: alt_vip_common_sample_counter
- Info (12023): Found entity 1: alt_vip_common_sample_counter
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_stream_marker.sv
- Info (12023): Found entity 1: alt_vip_cvo_stream_marker
- Info (12023): Found entity 1: alt_vip_cvo_stream_marker
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_core.sv
- Info (12023): Found entity 1: alt_vip_cvo_core
- Info (12023): Found entity 1: alt_vip_cvo_core
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_sync_compare.v
- Info (12023): Found entity 1: alt_vip_cvo_sync_compare
- Info (12023): Found entity 1: alt_vip_cvo_sync_compare
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_sync_conditioner.sv
- Info (12023): Found entity 1: alt_vip_cvo_sync_conditioner
- Info (12023): Found entity 1: alt_vip_cvo_sync_conditioner
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_sync_generation.sv
- Info (12023): Found entity 1: alt_vip_cvo_sync_generation
- Info (12023): Found entity 1: alt_vip_cvo_sync_generation
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_calculate_mode.v
- Info (12023): Found entity 1: alt_vip_cvo_calculate_mode
- Info (12023): Found entity 1: alt_vip_cvo_calculate_mode
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_mode_banks.sv
- Info (12023): Found entity 1: alt_vip_cvo_mode_banks
- Info (12023): Found entity 1: alt_vip_cvo_mode_banks
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_statemachine.sv
- Info (12023): Found entity 1: alt_vip_cvo_statemachine
- Info (12023): Found entity 1: alt_vip_cvo_statemachine
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_cvo_scheduler.sv
- Info (12023): Found entity 1: alt_vip_cvo_scheduler
- Info (12023): Found entity 1: alt_vip_cvo_scheduler
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_frame_buffer.v
- Info (12023): Found entity 1: mipi_vip_frame_buffer
- Info (12023): Found entity 1: mipi_vip_frame_buffer
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_vfb_sync_ctrl.sv
- Info (12023): Found entity 1: alt_vip_vfb_sync_ctrl
- Info (12023): Found entity 1: alt_vip_vfb_sync_ctrl
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_dc_mixed_widths_fifo.sv
- Info (12023): Found entity 1: alt_vip_common_dc_mixed_widths_fifo
- Info (12023): Found entity 1: alt_vip_common_dc_mixed_widths_fifo
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_fifo2.sv
- Info (12023): Found entity 1: alt_vip_common_fifo2
- Info (12023): Found entity 1: alt_vip_common_fifo2
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_delay.sv
- Info (12023): Found entity 1: alt_vip_common_delay
- Info (12023): Found entity 1: alt_vip_common_delay
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_common_clock_crossing_bridge_grey.sv
- Info (12023): Found entity 1: alt_vip_common_clock_crossing_bridge_grey
- Info (12023): Found entity 1: alt_vip_common_clock_crossing_bridge_grey
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_pack_proc.sv
- Info (12023): Found entity 1: alt_vip_packet_transfer_pack_proc
- Info (12023): Found entity 1: alt_vip_packet_transfer_pack_proc
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_twofold_ram.sv
- Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram
- Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_twofold_ram_reversed.sv
- Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram_reversed
- Info (12023): Found entity 1: alt_vip_packet_transfer_twofold_ram_reversed
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_read_proc.sv
- Info (12023): Found entity 1: alt_vip_packet_transfer_read_proc
- Info (12023): Found entity 1: alt_vip_packet_transfer_read_proc
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer_write_proc.sv
- Info (12023): Found entity 1: alt_vip_packet_transfer_write_proc
- Info (12023): Found entity 1: alt_vip_packet_transfer_write_proc
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_packet_transfer.sv
- Info (12023): Found entity 1: alt_vip_packet_transfer
- Info (12023): Found entity 1: alt_vip_packet_transfer
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_vfb_rd_ctrl.sv
- Info (12023): Found entity 1: alt_vip_vfb_rd_ctrl
- Info (12023): Found entity 1: alt_vip_vfb_rd_ctrl
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/alt_vip_vfb_wr_ctrl.sv
- Info (12023): Found entity 1: alt_vip_vfb_wr_ctrl
- Info (12023): Found entity 1: alt_vip_vfb_wr_ctrl
- Info (12021): Found 1 design units, including 1 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_ddr3_status.v
- Info (12023): Found entity 1: mipi_vip_ddr3_status
- Info (12023): Found entity 1: mipi_vip_ddr3_status
- Info (12021): Found 4 design units, including 4 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_altpll_sys.v
- Info (12023): Found entity 1: mipi_vip_altpll_sys_dffpipe_l2c
- Info (12023): Found entity 2: mipi_vip_altpll_sys_stdsync_sv6
- Info (12023): Found entity 3: mipi_vip_altpll_sys_altpll_dsf2
- Info (12023): Found entity 4: mipi_vip_altpll_sys
- Info (12023): Found entity 1: mipi_vip_altpll_sys_dffpipe_l2c
- Info (12023): Found entity 2: mipi_vip_altpll_sys_stdsync_sv6
- Info (12023): Found entity 3: mipi_vip_altpll_sys_altpll_dsf2
- Info (12023): Found entity 4: mipi_vip_altpll_sys
- Info (12021): Found 4 design units, including 4 entities, in source file mipi_vip/synthesis/submodules/mipi_vip_altpll_mipi.v
- Info (12023): Found entity 1: mipi_vip_altpll_mipi_dffpipe_l2c
- Info (12023): Found entity 2: mipi_vip_altpll_mipi_stdsync_sv6
- Info (12023): Found entity 3: mipi_vip_altpll_mipi_altpll_9t22
- Info (12023): Found entity 4: mipi_vip_altpll_mipi
- Info (12023): Found entity 1: mipi_vip_altpll_mipi_dffpipe_l2c
- Info (12023): Found entity 2: mipi_vip_altpll_mipi_stdsync_sv6
- Info (12023): Found entity 3: mipi_vip_altpll_mipi_altpll_9t22
- Info (12023): Found entity 4: mipi_vip_altpll_mipi
- Info (12021): Found 1 design units, including 1 entities, in source file ip/stream_fifo/stream_fifo.v
- Info (12023): Found entity 1: stream_fifo
- Info (12023): Found entity 1: stream_fifo
- Info (12021): Found 1 design units, including 1 entities, in source file ip/mipi_lvds/mipi_lvds.v
- Info (12023): Found entity 1: mipi_lvds
- Info (12023): Found entity 1: mipi_lvds
- Info (12021): Found 2 design units, including 2 entities, in source file ip/mipi_lvds/mipi_lvds/mipi_lvds_0002.v
- Info (12023): Found entity 1: mipi_lvds_0002_lvds_ddio_in_7td
- Info (12023): Found entity 2: mipi_lvds_0002
- Info (12023): Found entity 1: mipi_lvds_0002_lvds_ddio_in_7td
- Info (12023): Found entity 2: mipi_lvds_0002
- Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(177): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
- Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(178): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
- Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(179): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
- Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(180): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
- Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(182): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
- Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_cvo_mode_banks.sv(185): Parameter Declaration in module "alt_vip_cvo_mode_banks" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
- Warning (10222): Verilog HDL Parameter Declaration warning at alt_vip_common_frame_counter.v(50): Parameter Declaration in module "alt_vip_common_frame_counter" behaves as a Local Parameter Declaration because the module has a Module Parameter Port List
- Warning (12125): Using design file mipi_to_hdmi_terasic.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
- Info (12023): Found entity 1: mipi_to_hdmi_terasic
- Info (12023): Found entity 1: mipi_to_hdmi_terasic
- Warning (10236): Verilog HDL Implicit Net warning at mipi_to_hdmi_terasic.v(196): created implicit net for "pkg_clk"
- Warning (10236): Verilog HDL Implicit Net warning at mipi_to_hdmi_terasic.v(203): created implicit net for "pixel_frame_valid"
- Warning (10236): Verilog HDL Implicit Net warning at mipi_to_hdmi_terasic.v(209): created implicit net for "pixel_valid"
- Info (12127): Elaborating entity "mipi_to_hdmi_terasic" for the top level hierarchy
- Warning (10034): Output port "LED[5..3]" at mipi_to_hdmi_terasic.v(17) has no driver
- Info (12128): Elaborating entity "mipi_vip" for hierarchy "mipi_vip:u0"
- Info (12128): Elaborating entity "mipi_vip_altpll_mipi" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi"
- Info (12128): Elaborating entity "mipi_vip_altpll_mipi_stdsync_sv6" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi|mipi_vip_altpll_mipi_stdsync_sv6:stdsync2"
- Info (12128): Elaborating entity "mipi_vip_altpll_mipi_dffpipe_l2c" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi|mipi_vip_altpll_mipi_stdsync_sv6:stdsync2|mipi_vip_altpll_mipi_dffpipe_l2c:dffpipe3"
- Info (12128): Elaborating entity "mipi_vip_altpll_mipi_altpll_9t22" for hierarchy "mipi_vip:u0|mipi_vip_altpll_mipi:altpll_mipi|mipi_vip_altpll_mipi_altpll_9t22:sd1"
- Info (12128): Elaborating entity "mipi_vip_altpll_sys" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys"
- Info (12128): Elaborating entity "mipi_vip_altpll_sys_stdsync_sv6" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys|mipi_vip_altpll_sys_stdsync_sv6:stdsync2"
- Info (12128): Elaborating entity "mipi_vip_altpll_sys_dffpipe_l2c" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys|mipi_vip_altpll_sys_stdsync_sv6:stdsync2|mipi_vip_altpll_sys_dffpipe_l2c:dffpipe3"
- Info (12128): Elaborating entity "mipi_vip_altpll_sys_altpll_dsf2" for hierarchy "mipi_vip:u0|mipi_vip_altpll_sys:altpll_sys|mipi_vip_altpll_sys_altpll_dsf2:sd1"
- Info (12128): Elaborating entity "mipi_vip_ddr3_status" for hierarchy "mipi_vip:u0|mipi_vip_ddr3_status:ddr3_status"
- Info (12128): Elaborating entity "mipi_vip_frame_buffer" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer"
- Info (12128): Elaborating entity "alt_vip_video_input_bridge" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in"
- Info (12128): Elaborating entity "alt_vip_common_video_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_video_packet_decode:video_input"
- Info (12128): Elaborating entity "alt_vip_common_latency_1_to_latency_0" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_video_packet_decode:video_input|alt_vip_common_latency_1_to_latency_0:latency_converter"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_event_packet_decode:cmd_input"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_event_packet_encode:rsp_output"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_input_bridge:video_in|alt_vip_common_event_packet_encode:data_output"
- Info (12128): Elaborating entity "alt_vip_vfb_wr_ctrl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_decode:vib_resp_input"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_encode:vib_cmd_encoder"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_encode:sync_resp_encoder"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_decode:din_decoder"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_wr_ctrl:wr_ctrl|alt_vip_common_event_packet_encode:dout_encoder"
- Info (12128): Elaborating entity "alt_vip_vfb_rd_ctrl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_rd_ctrl:rd_ctrl"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_rd_ctrl:rd_ctrl|alt_vip_common_event_packet_encode:pt_cmd_encoder"
- Info (12128): Elaborating entity "alt_vip_video_output_bridge" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_output_bridge:video_out"
- Info (12128): Elaborating entity "alt_vip_common_video_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_output_bridge:video_out|alt_vip_common_video_packet_encode:video_output"
- Info (12128): Elaborating entity "alt_vip_common_latency_0_to_latency_1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_video_output_bridge:video_out|alt_vip_common_video_packet_encode:video_output|alt_vip_common_latency_0_to_latency_1:latency_converter"
- Info (12128): Elaborating entity "alt_vip_packet_transfer" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_common_event_packet_decode:cmd_input"
- Info (12128): Elaborating entity "alt_vip_packet_transfer_write_proc" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance"
- Info (12128): Elaborating entity "alt_vip_packet_transfer_twofold_ram" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0" with the following parameter:
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK1"
- Info (12134): Parameter "byte_size" = "8"
- Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
- Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
- Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "00000000000000000000000100000000"
- Info (12134): Parameter "numwords_b" = "00000000000000000000000010000000"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "widthad_a" = "8"
- Info (12134): Parameter "widthad_b" = "00000000000000000000000000000111"
- Info (12134): Parameter "width_a" = "32"
- Info (12134): Parameter "width_b" = "64"
- Info (12134): Parameter "width_byteena_a" = "4"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK1"
- Info (12134): Parameter "byte_size" = "8"
- Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
- Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
- Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "00000000000000000000000100000000"
- Info (12134): Parameter "numwords_b" = "00000000000000000000000010000000"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "widthad_a" = "8"
- Info (12134): Parameter "widthad_b" = "00000000000000000000000000000111"
- Info (12134): Parameter "width_a" = "32"
- Info (12134): Parameter "width_b" = "64"
- Info (12134): Parameter "width_byteena_a" = "4"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_67n1.tdf
- Info (12023): Found entity 1: altsyncram_67n1
- Info (12023): Found entity 1: altsyncram_67n1
- Info (12128): Elaborating entity "altsyncram_67n1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|altsyncram:ram_inst0|altsyncram_67n1:auto_generated"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_packet_transfer_twofold_ram:biram|alt_vip_common_delay:start_seg_std_delay_line"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:state_delay_line"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:state_delay_line_2"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:dl_ctxt_target_addr"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:dl_ctxt_addr"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:dl_ctxt_valid_bits"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_delay:unload_req_crosser"
- Info (12128): Elaborating entity "alt_vip_common_clock_crossing_bridge_grey" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_wr|alt_vip_packet_transfer_write_proc:WRITE_BLOCK.write_proc_instance|alt_vip_common_clock_crossing_bridge_grey:mem_ctr_crosser"
- Info (12128): Elaborating entity "alt_vip_packet_transfer" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd"
- Info (12128): Elaborating entity "alt_vip_packet_transfer_read_proc" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance"
- Info (12128): Elaborating entity "alt_vip_packet_transfer_twofold_ram_reversed" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0" with the following parameter:
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK1"
- Info (12134): Parameter "byte_size" = "8"
- Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
- Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
- Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "00000000000000000000000010000000"
- Info (12134): Parameter "numwords_b" = "00000000000000000000000100000000"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "CLOCK1"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "widthad_a" = "00000000000000000000000000000111"
- Info (12134): Parameter "widthad_b" = "8"
- Info (12134): Parameter "width_a" = "64"
- Info (12134): Parameter "width_b" = "32"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK1"
- Info (12134): Parameter "byte_size" = "8"
- Info (12134): Parameter "clock_enable_input_a" = "NORMAL"
- Info (12134): Parameter "clock_enable_input_b" = "NORMAL"
- Info (12134): Parameter "clock_enable_output_b" = "NORMAL"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "00000000000000000000000010000000"
- Info (12134): Parameter "numwords_b" = "00000000000000000000000100000000"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "CLOCK1"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "widthad_a" = "00000000000000000000000000000111"
- Info (12134): Parameter "widthad_b" = "8"
- Info (12134): Parameter "width_a" = "64"
- Info (12134): Parameter "width_b" = "32"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_isj1.tdf
- Info (12023): Found entity 1: altsyncram_isj1
- Info (12023): Found entity 1: altsyncram_isj1
- Info (12128): Elaborating entity "altsyncram_isj1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_packet_transfer_twofold_ram_reversed:biram_reversed|altsyncram:ram_inst0|altsyncram_isj1:auto_generated"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_delay:control_signal_delay_line"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_delay:output_cid_delay_line"
- Info (12128): Elaborating entity "alt_vip_common_delay" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_delay:output_did_delay_line"
- Info (12128): Elaborating entity "alt_vip_common_fifo2" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component" with the following parameter:
- Info (12134): Parameter "add_ram_output_register" = "OFF"
- Info (12134): Parameter "almost_empty_value" = "2"
- Info (12134): Parameter "almost_full_value" = "1"
- Info (12134): Parameter "lpm_numwords" = "2"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "12"
- Info (12134): Parameter "lpm_widthu" = "1"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "add_ram_output_register" = "OFF"
- Info (12134): Parameter "almost_empty_value" = "2"
- Info (12134): Parameter "almost_full_value" = "1"
- Info (12134): Parameter "lpm_numwords" = "2"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "12"
- Info (12134): Parameter "lpm_widthu" = "1"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_2h61.tdf
- Info (12023): Found entity 1: scfifo_2h61
- Info (12023): Found entity 1: scfifo_2h61
- Info (12128): Elaborating entity "scfifo_2h61" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_k801.tdf
- Info (12023): Found entity 1: a_dpfifo_k801
- Info (12023): Found entity 1: a_dpfifo_k801
- Info (12128): Elaborating entity "a_dpfifo_k801" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_fefifo_aaf.tdf
- Info (12023): Found entity 1: a_fefifo_aaf
- Info (12023): Found entity 1: a_fefifo_aaf
- Info (12128): Elaborating entity "a_fefifo_aaf" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|a_fefifo_aaf:fifo_state"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_u27.tdf
- Info (12023): Found entity 1: cntr_u27
- Info (12023): Found entity 1: cntr_u27
- Info (12128): Elaborating entity "cntr_u27" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|a_fefifo_aaf:fifo_state|cntr_u27:count_usedw"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_4rl1.tdf
- Info (12023): Found entity 1: altsyncram_4rl1
- Info (12023): Found entity 1: altsyncram_4rl1
- Info (12128): Elaborating entity "altsyncram_4rl1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|altsyncram_4rl1:FIFOram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_i2b.tdf
- Info (12023): Found entity 1: cntr_i2b
- Info (12023): Found entity 1: cntr_i2b
- Info (12128): Elaborating entity "cntr_i2b" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:output_msg_queue|scfifo:scfifo_component|scfifo_2h61:auto_generated|a_dpfifo_k801:dpfifo|cntr_i2b:rd_ptr_count"
- Info (12128): Elaborating entity "alt_vip_common_fifo2" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component" with the following parameter:
- Info (12134): Parameter "add_ram_output_register" = "OFF"
- Info (12134): Parameter "almost_empty_value" = "2"
- Info (12134): Parameter "almost_full_value" = "3"
- Info (12134): Parameter "lpm_numwords" = "4"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "8"
- Info (12134): Parameter "lpm_widthu" = "2"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "add_ram_output_register" = "OFF"
- Info (12134): Parameter "almost_empty_value" = "2"
- Info (12134): Parameter "almost_full_value" = "3"
- Info (12134): Parameter "lpm_numwords" = "4"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "8"
- Info (12134): Parameter "lpm_widthu" = "2"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_sf61.tdf
- Info (12023): Found entity 1: scfifo_sf61
- Info (12023): Found entity 1: scfifo_sf61
- Info (12128): Elaborating entity "scfifo_sf61" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_c701.tdf
- Info (12023): Found entity 1: a_dpfifo_c701
- Info (12023): Found entity 1: a_dpfifo_c701
- Info (12128): Elaborating entity "a_dpfifo_c701" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_fefifo_daf.tdf
- Info (12023): Found entity 1: a_fefifo_daf
- Info (12023): Found entity 1: a_fefifo_daf
- Info (12128): Elaborating entity "a_fefifo_daf" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|a_fefifo_daf:fifo_state"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_v27.tdf
- Info (12023): Found entity 1: cntr_v27
- Info (12023): Found entity 1: cntr_v27
- Info (12128): Elaborating entity "cntr_v27" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|a_fefifo_daf:fifo_state|cntr_v27:count_usedw"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_kol1.tdf
- Info (12023): Found entity 1: altsyncram_kol1
- Info (12023): Found entity 1: altsyncram_kol1
- Info (12128): Elaborating entity "altsyncram_kol1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|altsyncram_kol1:FIFOram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_j2b.tdf
- Info (12023): Found entity 1: cntr_j2b
- Info (12023): Found entity 1: cntr_j2b
- Info (12128): Elaborating entity "cntr_j2b" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_fifo2:mm_msg_queue|scfifo:scfifo_component|scfifo_sf61:auto_generated|a_dpfifo_c701:dpfifo|cntr_j2b:rd_ptr_count"
- Info (12128): Elaborating entity "alt_vip_common_dc_mixed_widths_fifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue"
- Info (12128): Elaborating entity "dcfifo" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo" with the following parameter:
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "dcfifo"
- Info (12134): Parameter "lpm_numwords" = "4"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "lpm_width" = "1"
- Info (12134): Parameter "lpm_widthu" = "2"
- Info (12134): Parameter "clocks_are_synchronized" = "FALSE"
- Info (12134): Parameter "write_aclr_synch" = "ON"
- Info (12134): Parameter "wrsync_delaypipe" = "4"
- Info (12134): Parameter "read_aclr_synch" = "ON"
- Info (12134): Parameter "rdsync_delaypipe" = "4"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "dcfifo"
- Info (12134): Parameter "lpm_numwords" = "4"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "lpm_width" = "1"
- Info (12134): Parameter "lpm_widthu" = "2"
- Info (12134): Parameter "clocks_are_synchronized" = "FALSE"
- Info (12134): Parameter "write_aclr_synch" = "ON"
- Info (12134): Parameter "wrsync_delaypipe" = "4"
- Info (12134): Parameter "read_aclr_synch" = "ON"
- Info (12134): Parameter "rdsync_delaypipe" = "4"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_npo1.tdf
- Info (12023): Found entity 1: dcfifo_npo1
- Info (12023): Found entity 1: dcfifo_npo1
- Info (12128): Elaborating entity "dcfifo_npo1" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_gray2bin_era.tdf
- Info (12023): Found entity 1: a_gray2bin_era
- Info (12023): Found entity 1: a_gray2bin_era
- Info (12128): Elaborating entity "a_gray2bin_era" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|a_gray2bin_era:rdptr_g_gray2bin"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_dg6.tdf
- Info (12023): Found entity 1: a_graycounter_dg6
- Info (12023): Found entity 1: a_graycounter_dg6
- Info (12128): Elaborating entity "a_graycounter_dg6" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|a_graycounter_dg6:rdptr_g1p"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_9ub.tdf
- Info (12023): Found entity 1: a_graycounter_9ub
- Info (12023): Found entity 1: a_graycounter_9ub
- Info (12128): Elaborating entity "a_graycounter_9ub" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|a_graycounter_9ub:wrptr_g1p"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_k661.tdf
- Info (12023): Found entity 1: altsyncram_k661
- Info (12023): Found entity 1: altsyncram_k661
- Info (12128): Elaborating entity "altsyncram_k661" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|altsyncram_k661:fifo_ram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_3dc.tdf
- Info (12023): Found entity 1: dffpipe_3dc
- Info (12023): Found entity 1: dffpipe_3dc
- Info (12128): Elaborating entity "dffpipe_3dc" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|dffpipe_3dc:rdaclr"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_ad9.tdf
- Info (12023): Found entity 1: dffpipe_ad9
- Info (12023): Found entity 1: dffpipe_ad9
- Info (12128): Elaborating entity "dffpipe_ad9" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|dffpipe_ad9:rs_brp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_qnl.tdf
- Info (12023): Found entity 1: alt_synch_pipe_qnl
- Info (12023): Found entity 1: alt_synch_pipe_qnl
- Info (12128): Elaborating entity "alt_synch_pipe_qnl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_qnl:rs_dgwp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_bd9.tdf
- Info (12023): Found entity 1: dffpipe_bd9
- Info (12023): Found entity 1: dffpipe_bd9
- Info (12128): Elaborating entity "dffpipe_bd9" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_qnl:rs_dgwp|dffpipe_bd9:dffpipe13"
- Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_rnl.tdf
- Info (12023): Found entity 1: alt_synch_pipe_rnl
- Info (12023): Found entity 1: alt_synch_pipe_rnl
- Info (12128): Elaborating entity "alt_synch_pipe_rnl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_rnl:ws_dgrp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_cd9.tdf
- Info (12023): Found entity 1: dffpipe_cd9
- Info (12023): Found entity 1: dffpipe_cd9
- Info (12128): Elaborating entity "dffpipe_cd9" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|alt_synch_pipe_rnl:ws_dgrp|dffpipe_cd9:dffpipe16"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_vg5.tdf
- Info (12023): Found entity 1: cmpr_vg5
- Info (12023): Found entity 1: cmpr_vg5
- Info (12128): Elaborating entity "cmpr_vg5" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_packet_transfer:pkt_trans_rd|alt_vip_packet_transfer_read_proc:READ_BLOCK.read_proc_instance|alt_vip_common_dc_mixed_widths_fifo:load_msg_queue|dcfifo:input_fifo|dcfifo_npo1:auto_generated|cmpr_vg5:rdempty_eq_comp"
- Info (12128): Elaborating entity "alt_vip_vfb_sync_ctrl" for hierarchy "mipi_vip:u0|mipi_vip_frame_buffer:frame_buffer|alt_vip_vfb_sync_ctrl:sync_ctrl"
- Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "anc_buffer_info" into its bus
- Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "next_anc_buffer_info" into its bus
- Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "frame_buffer_address" into its bus
- Info (12128): Elaborating entity "mipi_vip_hdmi_cvo" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo"
- Info (12128): Elaborating entity "alt_vip_video_input_bridge" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_video_input_bridge:video_in"
- Info (12128): Elaborating entity "alt_vip_cvo_scheduler" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_scheduler:scheduler"
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(195): truncated value with size 32 to match size of target (1)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(196): truncated value with size 32 to match size of target (1)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(620): truncated value with size 32 to match size of target (2)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(627): truncated value with size 32 to match size of target (8)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(630): truncated value with size 32 to match size of target (8)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(636): truncated value with size 32 to match size of target (8)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(647): truncated value with size 32 to match size of target (8)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(650): truncated value with size 32 to match size of target (2)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_scheduler.sv(699): truncated value with size 32 to match size of target (16)
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_vib_encoder"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_mode_banks_encoder"
- Info (12128): Elaborating entity "alt_vip_cvo_core" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core"
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_core.sv(287): object "is_ready_reg" assigned a value but never read
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(425): truncated value with size 32 to match size of target (1)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(711): truncated value with size 32 to match size of target (16)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(713): truncated value with size 32 to match size of target (16)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(714): truncated value with size 32 to match size of target (16)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(715): truncated value with size 32 to match size of target (16)
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_core.sv(784): truncated value with size 32 to match size of target (16)
- Info (12128): Elaborating entity "alt_vip_common_sync" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_sync:enable_resync_sync"
- Info (12128): Elaborating entity "alt_vip_common_trigger_sync" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_trigger_sync:mode_change_trigger_sync"
- Info (12128): Elaborating entity "alt_vip_cvo_stream_marker" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_stream_marker:stream_marker"
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_stream_marker.sv(204): truncated value with size 32 to match size of target (4)
- Info (12128): Elaborating entity "alt_vip_cvo_mode_banks" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_mode_banks:mode_banks"
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(167): object "lines" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(168): object "interlaced_field_reg" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(169): object "reg_address" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_mode_banks.sv(170): object "reg_write_data" assigned a value but never read
- Warning (10858): Verilog HDL warning at alt_vip_cvo_mode_banks.sv(354): object resp_mode_banks_args_in used but never assigned
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_mode_banks.sv(1248): truncated value with size 2 to match size of target (1)
- Warning (10030): Net "resp_mode_banks_args_in[0]" at alt_vip_cvo_mode_banks.sv(354) has no driver or initial value, using a default initial value '0'
- Warning (10030): Net "mode_banks_resp_valid" at alt_vip_cvo_mode_banks.sv(356) has no driver or initial value, using a default initial value '0'
- Info (12128): Elaborating entity "alt_vip_cvo_calculate_mode" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_mode_banks:mode_banks|alt_vip_cvo_calculate_mode:u_calculate_mode"
- Info (12128): Elaborating entity "alt_vip_common_generic_step_count" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_generic_step_count:h_counter"
- Info (12128): Elaborating entity "alt_vip_common_generic_step_count" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_generic_step_count:v_counter"
- Info (12128): Elaborating entity "alt_vip_common_fifo" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo"
- Info (12128): Elaborating entity "dcfifo" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo" with the following parameter:
- Info (12134): Parameter "lpm_hint" = "MAXIMIZE_SPEED=7,"
- Info (12134): Parameter "lpm_numwords" = "1284"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "dcfifo"
- Info (12134): Parameter "lpm_width" = "25"
- Info (12134): Parameter "lpm_widthu" = "11"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "rdsync_delaypipe" = "5"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "wrsync_delaypipe" = "5"
- Info (12134): Parameter "read_aclr_synch" = "ON"
- Info (12134): Parameter "lpm_hint" = "MAXIMIZE_SPEED=7,"
- Info (12134): Parameter "lpm_numwords" = "1284"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "dcfifo"
- Info (12134): Parameter "lpm_width" = "25"
- Info (12134): Parameter "lpm_widthu" = "11"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "rdsync_delaypipe" = "5"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "wrsync_delaypipe" = "5"
- Info (12134): Parameter "read_aclr_synch" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_5uj1.tdf
- Info (12023): Found entity 1: dcfifo_5uj1
- Info (12023): Found entity 1: dcfifo_5uj1
- Info (12128): Elaborating entity "dcfifo_5uj1" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_gray2bin_usa.tdf
- Info (12023): Found entity 1: a_gray2bin_usa
- Info (12023): Found entity 1: a_gray2bin_usa
- Info (12128): Elaborating entity "a_gray2bin_usa" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|a_gray2bin_usa:rdptr_g_gray2bin"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_th6.tdf
- Info (12023): Found entity 1: a_graycounter_th6
- Info (12023): Found entity 1: a_graycounter_th6
- Info (12128): Elaborating entity "a_graycounter_th6" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|a_graycounter_th6:rdptr_g1p"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_pvb.tdf
- Info (12023): Found entity 1: a_graycounter_pvb
- Info (12023): Found entity 1: a_graycounter_pvb
- Info (12128): Elaborating entity "a_graycounter_pvb" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|a_graycounter_pvb:wrptr_g1p"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_0d61.tdf
- Info (12023): Found entity 1: altsyncram_0d61
- Info (12023): Found entity 1: altsyncram_0d61
- Info (12128): Elaborating entity "altsyncram_0d61" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|altsyncram_0d61:fifo_ram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_qe9.tdf
- Info (12023): Found entity 1: dffpipe_qe9
- Info (12023): Found entity 1: dffpipe_qe9
- Info (12128): Elaborating entity "dffpipe_qe9" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|dffpipe_qe9:rs_brp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_apl.tdf
- Info (12023): Found entity 1: alt_synch_pipe_apl
- Info (12023): Found entity 1: alt_synch_pipe_apl
- Info (12128): Elaborating entity "alt_synch_pipe_apl" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_apl:rs_dgwp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_re9.tdf
- Info (12023): Found entity 1: dffpipe_re9
- Info (12023): Found entity 1: dffpipe_re9
- Info (12128): Elaborating entity "dffpipe_re9" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe13"
- Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_bpl.tdf
- Info (12023): Found entity 1: alt_synch_pipe_bpl
- Info (12023): Found entity 1: alt_synch_pipe_bpl
- Info (12128): Elaborating entity "alt_synch_pipe_bpl" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_bpl:ws_dgrp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_se9.tdf
- Info (12023): Found entity 1: dffpipe_se9
- Info (12023): Found entity 1: dffpipe_se9
- Info (12128): Elaborating entity "dffpipe_se9" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|alt_synch_pipe_bpl:ws_dgrp|dffpipe_se9:dffpipe16"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_2h5.tdf
- Info (12023): Found entity 1: cmpr_2h5
- Info (12023): Found entity 1: cmpr_2h5
- Info (12128): Elaborating entity "cmpr_2h5" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|cmpr_2h5:rdempty_eq_comp1_lsb"
- Info (12021): Found 1 design units, including 1 entities, in source file db/mux_9d7.tdf
- Info (12023): Found entity 1: mux_9d7
- Info (12023): Found entity 1: mux_9d7
- Info (12128): Elaborating entity "mux_9d7" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_common_fifo:input_fifo|dcfifo:input_fifo|dcfifo_5uj1:auto_generated|mux_9d7:rdemp_eq_comp_lsb_mux"
- Info (12128): Elaborating entity "alt_vip_cvo_sync_conditioner" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_sync_conditioner:pixel_channel_sync_conditioner"
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_conditioner.sv(260): object "start_vsync" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_conditioner.sv(282): object "vid_h_nxt" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_conditioner.sv(286): object "uhd_mode" assigned a value but never read
- Warning (10230): Verilog HDL assignment warning at alt_vip_cvo_sync_conditioner.sv(305): truncated value with size 32 to match size of target (16)
- Info (12128): Elaborating entity "alt_vip_cvo_sync_generation" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_sync_conditioner:pixel_channel_sync_conditioner|alt_vip_cvo_sync_generation:gen_cvo_syncs[0].pixel_lane_sync_generator"
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_generation.sv(174): object "vid_v_sync_pipeline" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at alt_vip_cvo_sync_generation.sv(193): object "f2_h_front_porch" assigned a value but never read
- Info (12128): Elaborating entity "alt_vip_cvo_statemachine" for hierarchy "mipi_vip:u0|mipi_vip_hdmi_cvo:hdmi_cvo|alt_vip_cvo_core:cvo_core|alt_vip_cvo_statemachine:statemachine"
- Info (12128): Elaborating entity "i2c_opencores" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi"
- Info (12128): Elaborating entity "i2c_master_top" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi|i2c_master_top:i2c_master_top_inst"
- Info (12128): Elaborating entity "i2c_master_byte_ctrl" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller"
- Info (12128): Elaborating entity "i2c_master_bit_ctrl" for hierarchy "mipi_vip:u0|i2c_opencores:i2c_opencores_mipi|i2c_master_top:i2c_master_top_inst|i2c_master_byte_ctrl:byte_controller|i2c_master_bit_ctrl:bit_controller"
- Info (10264): Verilog HDL Case Statement information at i2c_master_bit_ctrl.v(361): all case item expressions in this case statement are onehot
- Info (12128): Elaborating entity "mipi_vip_jtag_uart" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart"
- Info (12128): Elaborating entity "mipi_vip_jtag_uart_scfifo_w" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo" with the following parameter:
- Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=AUTO"
- Info (12134): Parameter "lpm_numwords" = "64"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "8"
- Info (12134): Parameter "lpm_widthu" = "6"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=AUTO"
- Info (12134): Parameter "lpm_numwords" = "64"
- Info (12134): Parameter "lpm_showahead" = "OFF"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "8"
- Info (12134): Parameter "lpm_widthu" = "6"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_9621.tdf
- Info (12023): Found entity 1: scfifo_9621
- Info (12023): Found entity 1: scfifo_9621
- Info (12128): Elaborating entity "scfifo_9621" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_bb01.tdf
- Info (12023): Found entity 1: a_dpfifo_bb01
- Info (12023): Found entity 1: a_dpfifo_bb01
- Info (12128): Elaborating entity "a_dpfifo_bb01" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_fefifo_7cf.tdf
- Info (12023): Found entity 1: a_fefifo_7cf
- Info (12023): Found entity 1: a_fefifo_7cf
- Info (12128): Elaborating entity "a_fefifo_7cf" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|a_fefifo_7cf:fifo_state"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_337.tdf
- Info (12023): Found entity 1: cntr_337
- Info (12023): Found entity 1: cntr_337
- Info (12128): Elaborating entity "cntr_337" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|a_fefifo_7cf:fifo_state|cntr_337:count_usedw"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dtn1.tdf
- Info (12023): Found entity 1: altsyncram_dtn1
- Info (12023): Found entity 1: altsyncram_dtn1
- Info (12128): Elaborating entity "altsyncram_dtn1" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|altsyncram_dtn1:FIFOram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_n2b.tdf
- Info (12023): Found entity 1: cntr_n2b
- Info (12023): Found entity 1: cntr_n2b
- Info (12128): Elaborating entity "cntr_n2b" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_w:the_mipi_vip_jtag_uart_scfifo_w|scfifo:wfifo|scfifo_9621:auto_generated|a_dpfifo_bb01:dpfifo|cntr_n2b:rd_ptr_count"
- Info (12128): Elaborating entity "mipi_vip_jtag_uart_scfifo_r" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|mipi_vip_jtag_uart_scfifo_r:the_mipi_vip_jtag_uart_scfifo_r"
- Info (12128): Elaborating entity "alt_jtag_atlantic" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic" with the following parameter:
- Info (12134): Parameter "INSTANCE_ID" = "0"
- Info (12134): Parameter "LOG2_RXFIFO_DEPTH" = "6"
- Info (12134): Parameter "LOG2_TXFIFO_DEPTH" = "6"
- Info (12134): Parameter "SLD_AUTO_INSTANCE_INDEX" = "YES"
- Info (12134): Parameter "INSTANCE_ID" = "0"
- Info (12134): Parameter "LOG2_RXFIFO_DEPTH" = "6"
- Info (12134): Parameter "LOG2_TXFIFO_DEPTH" = "6"
- Info (12134): Parameter "SLD_AUTO_INSTANCE_INDEX" = "YES"
- Info (12128): Elaborating entity "altera_sld_agent_endpoint" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst"
- Info (12131): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst", which is child of megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
- Info (12128): Elaborating entity "altera_fabric_endpoint" for hierarchy "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst|altera_fabric_endpoint:ep"
- Info (12131): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic|altera_sld_agent_endpoint:inst|altera_fabric_endpoint:ep", which is child of megafunction instantiation "mipi_vip:u0|mipi_vip_jtag_uart:jtag_uart|alt_jtag_atlantic:mipi_vip_jtag_uart_alt_jtag_atlantic"
- Info (12128): Elaborating entity "mipi_vip_led" for hierarchy "mipi_vip:u0|mipi_vip_led:led"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_pll0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0"
- Info (12128): Elaborating entity "altpll" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy" with the following parameter:
- Info (12134): Parameter "bandwidth_type" = "AUTO"
- Info (12134): Parameter "clk4_divide_by" = "1"
- Info (12134): Parameter "clk4_duty_cycle" = "50"
- Info (12134): Parameter "clk4_multiply_by" = "3"
- Info (12134): Parameter "clk4_phase_shift" = "0"
- Info (12134): Parameter "clk0_divide_by" = "1"
- Info (12134): Parameter "clk0_duty_cycle" = "50"
- Info (12134): Parameter "clk0_multiply_by" = "6"
- Info (12134): Parameter "clk0_phase_shift" = "0"
- Info (12134): Parameter "clk1_divide_by" = "1"
- Info (12134): Parameter "clk1_duty_cycle" = "50"
- Info (12134): Parameter "clk1_multiply_by" = "6"
- Info (12134): Parameter "clk1_phase_shift" = "2500"
- Info (12134): Parameter "clk2_divide_by" = "1"
- Info (12134): Parameter "clk2_duty_cycle" = "50"
- Info (12134): Parameter "clk2_multiply_by" = "6"
- Info (12134): Parameter "clk2_phase_shift" = "0"
- Info (12134): Parameter "clk3_divide_by" = "1"
- Info (12134): Parameter "clk3_duty_cycle" = "50"
- Info (12134): Parameter "clk3_multiply_by" = "6"
- Info (12134): Parameter "clk3_phase_shift" = "2500"
- Info (12134): Parameter "compensate_clock" = "CLK1"
- Info (12134): Parameter "inclk0_input_frequency" = "20000"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "altpll"
- Info (12134): Parameter "operation_mode" = "NORMAL"
- Info (12134): Parameter "pll_type" = "AUTO"
- Info (12134): Parameter "port_activeclock" = "PORT_UNUSED"
- Info (12134): Parameter "port_areset" = "PORT_USED"
- Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkloss" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED"
- Info (12134): Parameter "port_configupdate" = "PORT_UNUSED"
- Info (12134): Parameter "port_fbin" = "PORT_UNUSED"
- Info (12134): Parameter "port_inclk0" = "PORT_USED"
- Info (12134): Parameter "port_inclk1" = "PORT_UNUSED"
- Info (12134): Parameter "port_locked" = "PORT_USED"
- Info (12134): Parameter "port_pfdena" = "PORT_UNUSED"
- Info (12134): Parameter "port_phasecounterselect" = "PORT_USED"
- Info (12134): Parameter "port_phasedone" = "PORT_USED"
- Info (12134): Parameter "port_phasestep" = "PORT_USED"
- Info (12134): Parameter "port_phaseupdown" = "PORT_USED"
- Info (12134): Parameter "port_pllena" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanclk" = "PORT_USED"
- Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED"
- Info (12134): Parameter "port_scandata" = "PORT_UNUSED"
- Info (12134): Parameter "port_scandataout" = "PORT_UNUSED"
- Info (12134): Parameter "port_scandone" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanread" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED"
- Info (12134): Parameter "port_clk0" = "PORT_USED"
- Info (12134): Parameter "port_clk1" = "PORT_USED"
- Info (12134): Parameter "port_clk2" = "PORT_USED"
- Info (12134): Parameter "port_clk3" = "PORT_USED"
- Info (12134): Parameter "port_clk4" = "PORT_USED"
- Info (12134): Parameter "port_clk5" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena0" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena1" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena2" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena3" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena4" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena5" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk0" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk1" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk2" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk3" = "PORT_UNUSED"
- Info (12134): Parameter "self_reset_on_loss_lock" = "OFF"
- Info (12134): Parameter "vco_frequency_control" = "MANUAL_PHASE"
- Info (12134): Parameter "vco_phase_shift_step" = "104"
- Info (12134): Parameter "width_clock" = "5"
- Info (12134): Parameter "width_phasecounterselect" = "3"
- Info (12134): Parameter "bandwidth_type" = "AUTO"
- Info (12134): Parameter "clk4_divide_by" = "1"
- Info (12134): Parameter "clk4_duty_cycle" = "50"
- Info (12134): Parameter "clk4_multiply_by" = "3"
- Info (12134): Parameter "clk4_phase_shift" = "0"
- Info (12134): Parameter "clk0_divide_by" = "1"
- Info (12134): Parameter "clk0_duty_cycle" = "50"
- Info (12134): Parameter "clk0_multiply_by" = "6"
- Info (12134): Parameter "clk0_phase_shift" = "0"
- Info (12134): Parameter "clk1_divide_by" = "1"
- Info (12134): Parameter "clk1_duty_cycle" = "50"
- Info (12134): Parameter "clk1_multiply_by" = "6"
- Info (12134): Parameter "clk1_phase_shift" = "2500"
- Info (12134): Parameter "clk2_divide_by" = "1"
- Info (12134): Parameter "clk2_duty_cycle" = "50"
- Info (12134): Parameter "clk2_multiply_by" = "6"
- Info (12134): Parameter "clk2_phase_shift" = "0"
- Info (12134): Parameter "clk3_divide_by" = "1"
- Info (12134): Parameter "clk3_duty_cycle" = "50"
- Info (12134): Parameter "clk3_multiply_by" = "6"
- Info (12134): Parameter "clk3_phase_shift" = "2500"
- Info (12134): Parameter "compensate_clock" = "CLK1"
- Info (12134): Parameter "inclk0_input_frequency" = "20000"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_type" = "altpll"
- Info (12134): Parameter "operation_mode" = "NORMAL"
- Info (12134): Parameter "pll_type" = "AUTO"
- Info (12134): Parameter "port_activeclock" = "PORT_UNUSED"
- Info (12134): Parameter "port_areset" = "PORT_USED"
- Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkloss" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED"
- Info (12134): Parameter "port_configupdate" = "PORT_UNUSED"
- Info (12134): Parameter "port_fbin" = "PORT_UNUSED"
- Info (12134): Parameter "port_inclk0" = "PORT_USED"
- Info (12134): Parameter "port_inclk1" = "PORT_UNUSED"
- Info (12134): Parameter "port_locked" = "PORT_USED"
- Info (12134): Parameter "port_pfdena" = "PORT_UNUSED"
- Info (12134): Parameter "port_phasecounterselect" = "PORT_USED"
- Info (12134): Parameter "port_phasedone" = "PORT_USED"
- Info (12134): Parameter "port_phasestep" = "PORT_USED"
- Info (12134): Parameter "port_phaseupdown" = "PORT_USED"
- Info (12134): Parameter "port_pllena" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanclk" = "PORT_USED"
- Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED"
- Info (12134): Parameter "port_scandata" = "PORT_UNUSED"
- Info (12134): Parameter "port_scandataout" = "PORT_UNUSED"
- Info (12134): Parameter "port_scandone" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanread" = "PORT_UNUSED"
- Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED"
- Info (12134): Parameter "port_clk0" = "PORT_USED"
- Info (12134): Parameter "port_clk1" = "PORT_USED"
- Info (12134): Parameter "port_clk2" = "PORT_USED"
- Info (12134): Parameter "port_clk3" = "PORT_USED"
- Info (12134): Parameter "port_clk4" = "PORT_USED"
- Info (12134): Parameter "port_clk5" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena0" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena1" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena2" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena3" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena4" = "PORT_UNUSED"
- Info (12134): Parameter "port_clkena5" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk0" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk1" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk2" = "PORT_UNUSED"
- Info (12134): Parameter "port_extclk3" = "PORT_UNUSED"
- Info (12134): Parameter "self_reset_on_loss_lock" = "OFF"
- Info (12134): Parameter "vco_frequency_control" = "MANUAL_PHASE"
- Info (12134): Parameter "vco_phase_shift_step" = "104"
- Info (12134): Parameter "width_clock" = "5"
- Info (12134): Parameter "width_phasecounterselect" = "3"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_t0j3.tdf
- Info (12023): Found entity 1: altpll_t0j3
- Info (12023): Found entity 1: altpll_t0j3
- Info (12128): Elaborating entity "altpll_t0j3" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_dyn_phase_le_qrn.tdf
- Info (12023): Found entity 1: altpll_dyn_phase_le_qrn
- Info (12023): Found entity 1: altpll_dyn_phase_le_qrn
- Info (12128): Elaborating entity "altpll_dyn_phase_le_qrn" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|altpll_dyn_phase_le_qrn:altpll_dyn_phase_le2"
- Warning (287013): Variable or input pin "datad" is defined but never used.
- Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_dyn_phase_le_rrn.tdf
- Info (12023): Found entity 1: altpll_dyn_phase_le_rrn
- Info (12023): Found entity 1: altpll_dyn_phase_le_rrn
- Info (12128): Elaborating entity "altpll_dyn_phase_le_rrn" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|altpll_dyn_phase_le_rrn:altpll_dyn_phase_le4"
- Warning (287013): Variable or input pin "datad" is defined but never used.
- Info (12021): Found 1 design units, including 1 entities, in source file db/altpll_dyn_phase_le_srn.tdf
- Info (12023): Found entity 1: altpll_dyn_phase_le_srn
- Info (12023): Found entity 1: altpll_dyn_phase_le_srn
- Info (12128): Elaborating entity "altpll_dyn_phase_le_srn" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|altpll_dyn_phase_le_srn:altpll_dyn_phase_le5"
- Warning (287013): Variable or input pin "datad" is defined but never used.
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_ocd.tdf
- Info (12023): Found entity 1: cntr_ocd
- Info (12023): Found entity 1: cntr_ocd
- Info (12128): Elaborating entity "cntr_ocd" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_ocd:phasestep_counter"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_erb.tdf
- Info (12023): Found entity 1: cmpr_erb
- Info (12023): Found entity 1: cmpr_erb
- Info (12128): Elaborating entity "cmpr_erb" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_ocd:phasestep_counter|cmpr_erb:cmpr12"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_uqd.tdf
- Info (12023): Found entity 1: cntr_uqd
- Info (12023): Found entity 1: cntr_uqd
- Info (12128): Elaborating entity "cntr_uqd" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_uqd:pll_internal_phasestep"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_frb.tdf
- Info (12023): Found entity 1: cmpr_frb
- Info (12023): Found entity 1: cmpr_frb
- Info (12128): Elaborating entity "cmpr_frb" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_pll0:pll0|altpll:upll_memphy|altpll_t0j3:auto_generated|cntr_uqd:pll_internal_phasestep|cmpr_frb:cmpr14"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0"
- Warning (10036): Verilog HDL or VHDL warning at mipi_vip_mem_if_ddr3_emif_p0.sv(310): object "pll_dqs_ena_clk" assigned a value but never read
- Info (10648): Verilog HDL Display System Task info at mipi_vip_mem_if_ddr3_emif_p0.sv(346): Using Regular core emif simulation models
- Warning (10034): Output port "phy_read_fifo_q" at mipi_vip_mem_if_ddr3_emif_p0.sv(338) has no driver
- Warning (10034): Output port "dll_pll_locked" at mipi_vip_mem_if_ddr3_emif_p0.sv(236) has no driver
- Warning (10034): Output port "dll_clk" at mipi_vip_mem_if_ddr3_emif_p0.sv(237) has no driver
- Warning (10034): Output port "addr_cmd_clk" at mipi_vip_mem_if_ddr3_emif_p0.sv(314) has no driver
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_memphy_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_sync" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset|mipi_vip_mem_if_ddr3_emif_p0_reset_sync:ureset_afi_clk"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_sync" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset|mipi_vip_mem_if_ddr3_emif_p0_reset_sync:ureset_ctl_reset_clk"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_reset_sync" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_reset_m10:ureset|mipi_vip_mem_if_ddr3_emif_p0_reset_sync:ureset_resync_clk"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_address"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_bank"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_cke"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_datapath:uaddr_cmd_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:uaddr_cmd_shift_cs_n"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:bs_wr_grp[0].dq_shifter"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:bs_wr_grp[0].wrdata_mask_shifter"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_write_datapath_m10:uwrite_datapath|mipi_vip_mem_if_ddr3_emif_p0_fr_cycle_shifter:bs_wr_grp[0].wrdata_en_shifter"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10:uread_datapath"
- Warning (10230): Verilog HDL assignment warning at mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10.sv(184): truncated value with size 4 to match size of target (2)
- Info (12128): Elaborating entity "max10emif_dcfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_read_datapath_m10:uread_datapath|max10emif_dcfifo:rdata_fifo"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads"
- Info (12128): Elaborating entity "addr_cmd_pad_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad|mipi_vip_mem_if_ddr3_emif_p0_simple_ddio_out_m10:hr_to_fr_lo"
- Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad|altera_gpio_lite:uadc_pad"
- Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|addr_cmd_pad_m10:address_gen[0].uaddress_pad|altera_gpio_lite:uadc_pad|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(82): object "nsleep_in" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(334): object "oe_outclocken_wire" assigned a value but never read
- Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|altera_gpio_lite:clock_gen[0].umem_ck_pad"
- Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_addr_cmd_pads_m10:uaddr_cmd_pads|altera_gpio_lite:clock_gen[0].umem_ck_pad|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(82): object "nsleep_in" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(334): object "oe_outclocken_wire" assigned a value but never read
- Warning (10858): Verilog HDL warning at altera_gpio_lite.sv(525): object ddr_input used but never assigned
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(526): object "inclock_wire" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(540): object "inclocken_wire" assigned a value but never read
- Warning (10030): Net "ddr_input" at altera_gpio_lite.sv(525) has no driver or initial value, using a default initial value '0'
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs"
- Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dq_ddio_io"
- Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dq_ddio_io|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
- Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dqs_ddio_io"
- Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:dqs_ddio_io|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
- Info (12128): Elaborating entity "altera_gpio_lite" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:extra_ddio_out"
- Info (12128): Elaborating entity "altgpio_one_bit" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_p0:p0|mipi_vip_mem_if_ddr3_emif_p0_memphy_m10:umemphy|mipi_vip_mem_if_ddr3_emif_p0_dqdqs_pads_m10:dq_ddio[0].ubidir_dq_dqs|altera_gpio_lite:extra_ddio_out|altgpio_one_bit:gpio_one_bit.i_loop[0].altgpio_bit_i"
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(82): object "nsleep_in" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at altera_gpio_lite.sv(334): object "oe_outclocken_wire" assigned a value but never read
- Info (12128): Elaborating entity "afi_mux_ddr3_ddrx" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|afi_mux_ddr3_ddrx:m0"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0"
- Info (12128): Elaborating entity "altera_mem_if_sequencer_rst" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|altera_mem_if_sequencer_rst:sequencer_rst"
- Info (12128): Elaborating entity "sequencer_m10" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|sequencer_m10:cpu_inst"
- Warning (10036): Verilog HDL or VHDL warning at sequencer_m10.sv(122): object "latency" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at sequencer_m10.sv(128): object "start_ddr2_init" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at sequencer_m10.sv(130): object "start_lpddr2_init" assigned a value but never read
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(464): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(483): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(246): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(501): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(528): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(904): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(985): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(1153): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(1171): truncated value with size 32 to match size of target (20)
- Warning (10230): Verilog HDL assignment warning at sequencer_m10.sv(1127): truncated value with size 32 to match size of target (20)
- Info (12128): Elaborating entity "sequencer_pll_mgr" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|sequencer_pll_mgr:sequencer_pll_mgr_inst"
- Info (12128): Elaborating entity "sequencer_phy_mgr" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|sequencer_phy_mgr:sequencer_phy_mgr_inst"
- Info (12128): Elaborating entity "rw_manager_ddr3" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst"
- Info (12128): Elaborating entity "rw_manager_generic" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst"
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(155): object "jump_ptr_0_reg" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(156): object "jump_ptr_1_reg" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(157): object "jump_cntr_0_reg" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(158): object "jump_cntr_1_reg" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_generic.sv(159): object "cs_mask_reg" assigned a value but never read
- Warning (10858): Verilog HDL warning at rw_manager_generic.sv(163): object all_refresh_done used but never assigned
- Warning (10858): Verilog HDL warning at rw_manager_generic.sv(164): object restore_done used but never assigned
- Warning (10030): Net "all_refresh_done" at rw_manager_generic.sv(163) has no driver or initial value, using a default initial value '0'
- Warning (10030): Net "restore_done" at rw_manager_generic.sv(164) has no driver or initial value, using a default initial value '0'
- Info (12128): Elaborating entity "rw_manager_core" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst"
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(192): object "inst_ROM_wrdata" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(193): object "inst_ROM_wraddress" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(194): object "ac_ROM_wrdata_to_rom" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(196): object "ac_ROM_wraddress" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(323): object "inst_ROM_wren" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at rw_manager_core.sv(324): object "ac_ROM_wren" assigned a value but never read
- Info (12128): Elaborating entity "rw_manager_m10_inst_ROM" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_m10_inst_ROM:inst_ROM_i"
- Info (12128): Elaborating entity "rw_manager_m10_ac_ROM" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_m10_ac_ROM:ac_ROM_i"
- Info (12128): Elaborating entity "rw_manager_di_buffer_wrap" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i"
- Info (12128): Elaborating entity "rw_manager_di_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i"
- Warning (272007): Device family MAX10 does not have MLAB blocks -- using available memory blocks
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component" with the following parameter:
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
- Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
- Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
- Info (12134): Parameter "intended_device_family" = "Stratix III"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "4"
- Info (12134): Parameter "numwords_b" = "4"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "ram_block_type" = "MLAB"
- Info (12134): Parameter "widthad_a" = "2"
- Info (12134): Parameter "widthad_b" = "2"
- Info (12134): Parameter "width_a" = "32"
- Info (12134): Parameter "width_b" = "32"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
- Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
- Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
- Info (12134): Parameter "intended_device_family" = "Stratix III"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "4"
- Info (12134): Parameter "numwords_b" = "4"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "ram_block_type" = "MLAB"
- Info (12134): Parameter "widthad_a" = "2"
- Info (12134): Parameter "widthad_b" = "2"
- Info (12134): Parameter "width_a" = "32"
- Info (12134): Parameter "width_b" = "32"
- Info (12134): Parameter "width_byteena_a" = "1"
- Warning (287001): Assertion warning: Device family MAX10 does not have MLAB blocks -- using available memory blocks
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_uok1.tdf
- Info (12023): Found entity 1: altsyncram_uok1
- Info (12023): Found entity 1: altsyncram_uok1
- Info (12128): Elaborating entity "altsyncram_uok1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_di_buffer_wrap:di_buffer_wrap_i|rw_manager_di_buffer:rw_manager_di_buffer_i|altsyncram:altsyncram_component|altsyncram_uok1:auto_generated"
- Info (12128): Elaborating entity "rw_manager_write_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i"
- Info (12128): Elaborating entity "rw_manager_data_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_data_decoder:DO_decoder"
- Info (12128): Elaborating entity "rw_manager_dm_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_dm_decoder:DM_decoder_i"
- Info (12128): Elaborating entity "rw_manager_lfsr36" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_lfsr36:do_lfsr_i"
- Info (12128): Elaborating entity "rw_manager_lfsr12" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_write_decoder:write_decoder_i|rw_manager_lfsr12:dm_lfsr_i"
- Info (12128): Elaborating entity "rw_manager_read_datapath" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i"
- Info (12128): Elaborating entity "rw_manager_bitcheck" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_bitcheck:bitcheck_i"
- Info (12128): Elaborating entity "rw_manager_pattern_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i"
- Warning (272007): Device family MAX10 does not have MLAB blocks -- using available memory blocks
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component" with the following parameter:
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
- Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
- Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "32"
- Info (12134): Parameter "numwords_b" = "32"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "ram_block_type" = "MLAB"
- Info (12134): Parameter "widthad_a" = "5"
- Info (12134): Parameter "widthad_b" = "5"
- Info (12134): Parameter "width_a" = "9"
- Info (12134): Parameter "width_b" = "9"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "clock_enable_input_a" = "BYPASS"
- Info (12134): Parameter "clock_enable_input_b" = "BYPASS"
- Info (12134): Parameter "clock_enable_output_b" = "BYPASS"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "32"
- Info (12134): Parameter "numwords_b" = "32"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "ram_block_type" = "MLAB"
- Info (12134): Parameter "widthad_a" = "5"
- Info (12134): Parameter "widthad_b" = "5"
- Info (12134): Parameter "width_a" = "9"
- Info (12134): Parameter "width_b" = "9"
- Info (12134): Parameter "width_byteena_a" = "1"
- Warning (287001): Assertion warning: Device family MAX10 does not have MLAB blocks -- using available memory blocks
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_epk1.tdf
- Info (12023): Found entity 1: altsyncram_epk1
- Info (12023): Found entity 1: altsyncram_epk1
- Info (12128): Elaborating entity "altsyncram_epk1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_read_datapath:read_datapath_i|rw_manager_pattern_fifo:pattern_fifo_i|altsyncram:altsyncram_component|altsyncram_epk1:auto_generated"
- Info (12128): Elaborating entity "rw_manager_data_broadcast" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_data_broadcast:data_broadcast_i"
- Info (12128): Elaborating entity "rw_manager_jumplogic" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_jumplogic:jumplogic_i"
- Info (12128): Elaborating entity "rw_manager_datamux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|rw_manager_ddr3:sequencer_rw_mgr_inst|rw_manager_generic:rw_mgr_inst|rw_manager_core:rw_mgr_core_inst|rw_manager_datamux:mux_iter[0].datamux_i"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0"
- Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:cpu_inst_data_master_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:sequencer_phy_mgr_inst_avl_translator"
- Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:cpu_inst_data_master_agent"
- Info (12128): Elaborating entity "altera_merlin_slave_agent" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:sequencer_phy_mgr_inst_avl_agent"
- Info (12128): Elaborating entity "altera_merlin_burst_uncompressor" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:sequencer_phy_mgr_inst_avl_agent|altera_merlin_burst_uncompressor:uncompressor"
- Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:sequencer_phy_mgr_inst_avl_agent_rsp_fifo"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router:router"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router:router|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_default_decode:the_default_decode"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001:router_001"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001:router_001|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_router_001_default_decode:the_default_decode"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_demux:cmd_demux"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_cmd_mux:cmd_mux"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_demux:rsp_demux"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux:rsp_mux"
- Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb"
- Info (12128): Elaborating entity "altera_merlin_arb_adder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_s0:s0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0:mm_interconnect_0|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter|mipi_vip_mem_if_ddr3_emif_s0_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0"
- Info (12128): Elaborating entity "mipi_vip_mem_if_ddr3_emif_c0" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0"
- Info (12128): Elaborating entity "alt_mem_if_nextgen_ddr3_controller_core" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0"
- Info (12128): Elaborating entity "alt_mem_ddrx_controller_st_top" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_controller" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst"
- Warning (10230): Verilog HDL assignment warning at alt_mem_ddrx_controller.v(1023): truncated value with size 32 to match size of target (4)
- Warning (10230): Verilog HDL assignment warning at alt_mem_ddrx_controller.v(1024): truncated value with size 32 to match size of target (4)
- Info (12128): Elaborating entity "alt_mem_ddrx_input_if" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_input_if:input_if_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_cmd_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_cmd_gen:cmd_gen_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_tbp" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_tbp:tbp_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_arbiter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_arbiter:arbiter_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_burst_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_burst_gen:burst_gen_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_addr_cmd_wrap" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_addr_cmd" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_addr_cmd:gen_bg_afi_signal_decode[0].alt_mem_ddrx_addr_cmd_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_odt_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_odt_gen:gen_bg_afi_signal_decode[0].odt_gen_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_ddr2_odt_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_odt_gen:gen_bg_afi_signal_decode[0].odt_gen_inst|alt_mem_ddrx_ddr2_odt_gen:ddr2_odt_gen[0].alt_mem_ddrx_ddr2_odt_gen_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_ddr3_odt_gen" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_addr_cmd_wrap:addr_cmd_wrap_inst|alt_mem_ddrx_odt_gen:gen_bg_afi_signal_decode[0].odt_gen_inst|alt_mem_ddrx_ddr3_odt_gen:ddr3_odt_gen[0].alt_mem_ddrx_ddr3_odt_gen_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_rdwr_data_tmg" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdwr_data_tmg:rdwr_data_tmg_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_wdata_path" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_freeid_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_list:wdatap_list_allocated_id_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_burst_tracking" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_burst_tracking:wdatap_burst_tracking_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_dataid_manager" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_dataid_manager:wdatap_dataid_manager_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component" with the following parameter:
- Info (12134): Parameter "address_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "indata_aclr_a" = "NONE"
- Info (12134): Parameter "intended_device_family" = "Stratix"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "64"
- Info (12134): Parameter "numwords_b" = "64"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "widthad_a" = "6"
- Info (12134): Parameter "widthad_b" = "6"
- Info (12134): Parameter "width_a" = "16"
- Info (12134): Parameter "width_b" = "16"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "indata_aclr_a" = "NONE"
- Info (12134): Parameter "intended_device_family" = "Stratix"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "64"
- Info (12134): Parameter "numwords_b" = "64"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "widthad_a" = "6"
- Info (12134): Parameter "widthad_b" = "6"
- Info (12134): Parameter "width_a" = "16"
- Info (12134): Parameter "width_b" = "16"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_l0l1.tdf
- Info (12023): Found entity 1: altsyncram_l0l1
- Info (12023): Found entity 1: altsyncram_l0l1
- Info (12128): Elaborating entity "altsyncram_l0l1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_data_inst|altsyncram:altsyncram_component|altsyncram_l0l1:auto_generated"
- Info (12128): Elaborating entity "alt_mem_ddrx_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component" with the following parameter:
- Info (12134): Parameter "address_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "indata_aclr_a" = "NONE"
- Info (12134): Parameter "intended_device_family" = "Stratix"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "64"
- Info (12134): Parameter "numwords_b" = "64"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "widthad_a" = "6"
- Info (12134): Parameter "widthad_b" = "6"
- Info (12134): Parameter "width_a" = "2"
- Info (12134): Parameter "width_b" = "2"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "indata_aclr_a" = "NONE"
- Info (12134): Parameter "intended_device_family" = "Stratix"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "64"
- Info (12134): Parameter "numwords_b" = "64"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "widthad_a" = "6"
- Info (12134): Parameter "widthad_b" = "6"
- Info (12134): Parameter "width_a" = "2"
- Info (12134): Parameter "width_b" = "2"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_btk1.tdf
- Info (12023): Found entity 1: altsyncram_btk1
- Info (12023): Found entity 1: altsyncram_btk1
- Info (12128): Elaborating entity "altsyncram_btk1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_buffer:wdata_buffer_per_dwidth_ratio[0].wdata_buffer_per_dqs_group[0].wdatap_buffer_be_inst|altsyncram:altsyncram_component|altsyncram_btk1:auto_generated"
- Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "4"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "100"
- Info (12134): Parameter "lpm_widthu" = "2"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "4"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "100"
- Info (12134): Parameter "lpm_widthu" = "2"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_2k31.tdf
- Info (12023): Found entity 1: scfifo_2k31
- Info (12023): Found entity 1: scfifo_2k31
- Info (12128): Elaborating entity "scfifo_2k31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_bv21.tdf
- Info (12023): Found entity 1: a_dpfifo_bv21
- Info (12023): Found entity 1: a_dpfifo_bv21
- Info (12128): Elaborating entity "a_dpfifo_bv21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_hkg1.tdf
- Info (12023): Found entity 1: altsyncram_hkg1
- Info (12023): Found entity 1: altsyncram_hkg1
- Info (12128): Elaborating entity "altsyncram_hkg1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|altsyncram_hkg1:FIFOram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_578.tdf
- Info (12023): Found entity 1: cmpr_578
- Info (12023): Found entity 1: cmpr_578
- Info (12128): Elaborating entity "cmpr_578" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cmpr_578:almost_full_comparer"
- Info (12128): Elaborating entity "cmpr_578" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cmpr_578:three_comparison"
- Info (12128): Elaborating entity "cntr_i2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cntr_i2b:rd_ptr_msb"
- Info (12128): Elaborating entity "cntr_v27" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cntr_v27:usedw_counter"
- Info (12128): Elaborating entity "cntr_j2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_wdata_path:wdata_path_inst|alt_mem_ddrx_fifo:rmw_data_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_2k31:auto_generated|a_dpfifo_bv21:dpfifo|cntr_j2b:wr_ptr"
- Info (12128): Elaborating entity "alt_mem_ddrx_rdata_path" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "16"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "46"
- Info (12134): Parameter "lpm_widthu" = "4"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "16"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "46"
- Info (12134): Parameter "lpm_widthu" = "4"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_gk31.tdf
- Info (12023): Found entity 1: scfifo_gk31
- Info (12023): Found entity 1: scfifo_gk31
- Info (12128): Elaborating entity "scfifo_gk31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_pv21.tdf
- Info (12023): Found entity 1: a_dpfifo_pv21
- Info (12023): Found entity 1: a_dpfifo_pv21
- Info (12128): Elaborating entity "a_dpfifo_pv21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dlg1.tdf
- Info (12023): Found entity 1: altsyncram_dlg1
- Info (12023): Found entity 1: altsyncram_dlg1
- Info (12128): Elaborating entity "altsyncram_dlg1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|altsyncram_dlg1:FIFOram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_778.tdf
- Info (12023): Found entity 1: cmpr_778
- Info (12023): Found entity 1: cmpr_778
- Info (12128): Elaborating entity "cmpr_778" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cmpr_778:almost_full_comparer"
- Info (12128): Elaborating entity "cmpr_778" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cmpr_778:three_comparison"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_k2b.tdf
- Info (12023): Found entity 1: cntr_k2b
- Info (12023): Found entity 1: cntr_k2b
- Info (12128): Elaborating entity "cntr_k2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cntr_k2b:rd_ptr_msb"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_137.tdf
- Info (12023): Found entity 1: cntr_137
- Info (12023): Found entity 1: cntr_137
- Info (12128): Elaborating entity "cntr_137" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cntr_137:usedw_counter"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_l2b.tdf
- Info (12023): Found entity 1: cntr_l2b
- Info (12023): Found entity 1: cntr_l2b
- Info (12128): Elaborating entity "cntr_l2b" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:pending_rd_fifo|scfifo:gen_fifo_instance.scfifo_component|scfifo_gk31:auto_generated|a_dpfifo_pv21:dpfifo|cntr_l2b:wr_ptr"
- Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "8"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "40"
- Info (12134): Parameter "lpm_widthu" = "3"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "8"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "40"
- Info (12134): Parameter "lpm_widthu" = "3"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_qi31.tdf
- Info (12023): Found entity 1: scfifo_qi31
- Info (12023): Found entity 1: scfifo_qi31
- Info (12128): Elaborating entity "scfifo_qi31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_3u21.tdf
- Info (12023): Found entity 1: a_dpfifo_3u21
- Info (12023): Found entity 1: a_dpfifo_3u21
- Info (12128): Elaborating entity "a_dpfifo_3u21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_1ig1.tdf
- Info (12023): Found entity 1: altsyncram_1ig1
- Info (12023): Found entity 1: altsyncram_1ig1
- Info (12128): Elaborating entity "altsyncram_1ig1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|altsyncram_1ig1:FIFOram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_678.tdf
- Info (12023): Found entity 1: cmpr_678
- Info (12023): Found entity 1: cmpr_678
- Info (12128): Elaborating entity "cmpr_678" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|cmpr_678:almost_full_comparer"
- Info (12128): Elaborating entity "cmpr_678" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|cmpr_678:three_comparison"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_037.tdf
- Info (12023): Found entity 1: cntr_037
- Info (12023): Found entity 1: cntr_037
- Info (12128): Elaborating entity "cntr_037" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:errcmd_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_qi31:auto_generated|a_dpfifo_3u21:dpfifo|cntr_037:usedw_counter"
- Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_freeid_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_list" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_list:gen_rdata_return_inorder.list_allocated_id_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component" with the following parameter:
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "16"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "11"
- Info (12134): Parameter "lpm_widthu" = "4"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "Stratix IV"
- Info (12134): Parameter "lpm_numwords" = "16"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "11"
- Info (12134): Parameter "lpm_widthu" = "4"
- Info (12134): Parameter "overflow_checking" = "OFF"
- Info (12134): Parameter "underflow_checking" = "OFF"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_8k31.tdf
- Info (12023): Found entity 1: scfifo_8k31
- Info (12023): Found entity 1: scfifo_8k31
- Info (12128): Elaborating entity "scfifo_8k31" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_8k31:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_hv21.tdf
- Info (12023): Found entity 1: a_dpfifo_hv21
- Info (12023): Found entity 1: a_dpfifo_hv21
- Info (12128): Elaborating entity "a_dpfifo_hv21" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_8k31:auto_generated|a_dpfifo_hv21:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_tkg1.tdf
- Info (12023): Found entity 1: altsyncram_tkg1
- Info (12023): Found entity 1: altsyncram_tkg1
- Info (12128): Elaborating entity "altsyncram_tkg1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_fifo:gen_rdata_return_inorder.inordr_info_fifo_inst|scfifo:gen_fifo_instance.scfifo_component|scfifo_8k31:auto_generated|a_dpfifo_hv21:dpfifo|altsyncram_tkg1:FIFOram"
- Info (12128): Elaborating entity "alt_mem_ddrx_buffer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component" with the following parameter:
- Info (12134): Parameter "address_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "indata_aclr_a" = "NONE"
- Info (12134): Parameter "intended_device_family" = "Stratix"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "128"
- Info (12134): Parameter "numwords_b" = "128"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "widthad_a" = "7"
- Info (12134): Parameter "widthad_b" = "7"
- Info (12134): Parameter "width_a" = "65"
- Info (12134): Parameter "width_b" = "65"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_a" = "NONE"
- Info (12134): Parameter "address_aclr_b" = "NONE"
- Info (12134): Parameter "address_reg_b" = "CLOCK0"
- Info (12134): Parameter "indata_aclr_a" = "NONE"
- Info (12134): Parameter "intended_device_family" = "Stratix"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "numwords_a" = "128"
- Info (12134): Parameter "numwords_b" = "128"
- Info (12134): Parameter "operation_mode" = "DUAL_PORT"
- Info (12134): Parameter "outdata_aclr_b" = "NONE"
- Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED"
- Info (12134): Parameter "power_up_uninitialized" = "FALSE"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "widthad_a" = "7"
- Info (12134): Parameter "widthad_b" = "7"
- Info (12134): Parameter "width_a" = "65"
- Info (12134): Parameter "width_b" = "65"
- Info (12134): Parameter "width_byteena_a" = "1"
- Info (12134): Parameter "wrcontrol_aclr_a" = "NONE"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_14l1.tdf
- Info (12023): Found entity 1: altsyncram_14l1
- Info (12023): Found entity 1: altsyncram_14l1
- Info (12128): Elaborating entity "altsyncram_14l1" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rdata_path:rdata_path_inst|alt_mem_ddrx_buffer:gen_rdata_return_inorder.in_order_buffer_inst|altsyncram:altsyncram_component|altsyncram_14l1:auto_generated"
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder_decoder_wrapper" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_encoder:encoder_inst_per_drate[0].encoder_inst"
- Warning (10230): Verilog HDL assignment warning at alt_mem_ddrx_ecc_encoder.v(203): truncated value with size 32 to match size of target (8)
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder_32" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_encoder:encoder_inst_per_drate[0].encoder_inst|alt_mem_ddrx_ecc_encoder_32:encoder_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_encoder_32_altecc_encoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_encoder:encoder_inst_per_drate[0].encoder_inst|alt_mem_ddrx_ecc_encoder_32:encoder_inst|alt_mem_ddrx_ecc_encoder_32_altecc_encoder:alt_mem_ddrx_ecc_encoder_32_altecc_encoder_component"
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder_32" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst|alt_mem_ddrx_ecc_decoder_32:decoder_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder_32_altecc_decoder" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst|alt_mem_ddrx_ecc_decoder_32:decoder_inst|alt_mem_ddrx_ecc_decoder_32_altecc_decoder:alt_mem_ddrx_ecc_decoder_32_altecc_decoder_component"
- Info (12128): Elaborating entity "alt_mem_ddrx_ecc_decoder_32_decode" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_ecc_encoder_decoder_wrapper:ecc_encoder_decoder_wrapper_inst|alt_mem_ddrx_ecc_decoder:decoder_inst_per_drate[0].decoder_inst|alt_mem_ddrx_ecc_decoder_32:decoder_inst|alt_mem_ddrx_ecc_decoder_32_altecc_decoder:alt_mem_ddrx_ecc_decoder_32_altecc_decoder_component|alt_mem_ddrx_ecc_decoder_32_decode:error_bit_decoder"
- Info (12128): Elaborating entity "alt_mem_ddrx_sideband" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_sideband:sideband_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_rank_timer" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_rank_timer:rank_timer_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_timing_param" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_if_nextgen_ddr3_controller_core:ng0|alt_mem_ddrx_controller_st_top:alt_mem_ddrx_controller_top_inst|alt_mem_ddrx_controller:controller_inst|alt_mem_ddrx_timing_param:timing_param_inst"
- Info (12128): Elaborating entity "alt_mem_ddrx_mm_st_converter" for hierarchy "mipi_vip:u0|mipi_vip_mem_if_ddr3_emif:mem_if_ddr3_emif|mipi_vip_mem_if_ddr3_emif_c0:c0|alt_mem_ddrx_mm_st_converter:a0"
- Warning (10036): Verilog HDL or VHDL warning at alt_mem_ddrx_mm_st_converter.v(154): object "avl_burstbegin_reg" assigned a value but never read
- Info (12128): Elaborating entity "mipi_vip_mipi_core_en" for hierarchy "mipi_vip:u0|mipi_vip_mipi_core_en:mipi_core_en"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_test_bench" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_test_bench:the_mipi_vip_nios2_gen2_cpu_test_bench"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_ic_data_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_data_module:mipi_vip_nios2_gen2_cpu_ic_data"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_data_module:mipi_vip_nios2_gen2_cpu_ic_data|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_2uc1.tdf
- Info (12023): Found entity 1: altsyncram_2uc1
- Info (12023): Found entity 1: altsyncram_2uc1
- Info (12128): Elaborating entity "altsyncram_2uc1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_data_module:mipi_vip_nios2_gen2_cpu_ic_data|altsyncram:the_altsyncram|altsyncram_2uc1:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_ic_tag_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_tag_module:mipi_vip_nios2_gen2_cpu_ic_tag"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_tag_module:mipi_vip_nios2_gen2_cpu_ic_tag|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_1lc1.tdf
- Info (12023): Found entity 1: altsyncram_1lc1
- Info (12023): Found entity 1: altsyncram_1lc1
- Info (12128): Elaborating entity "altsyncram_1lc1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_ic_tag_module:mipi_vip_nios2_gen2_cpu_ic_tag|altsyncram:the_altsyncram|altsyncram_1lc1:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_bht_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_bht_module:mipi_vip_nios2_gen2_cpu_bht"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_bht_module:mipi_vip_nios2_gen2_cpu_bht|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vhc1.tdf
- Info (12023): Found entity 1: altsyncram_vhc1
- Info (12023): Found entity 1: altsyncram_vhc1
- Info (12128): Elaborating entity "altsyncram_vhc1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_bht_module:mipi_vip_nios2_gen2_cpu_bht|altsyncram:the_altsyncram|altsyncram_vhc1:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_register_bank_a_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_a_module:mipi_vip_nios2_gen2_cpu_register_bank_a"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_a_module:mipi_vip_nios2_gen2_cpu_register_bank_a|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_5tb1.tdf
- Info (12023): Found entity 1: altsyncram_5tb1
- Info (12023): Found entity 1: altsyncram_5tb1
- Info (12128): Elaborating entity "altsyncram_5tb1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_a_module:mipi_vip_nios2_gen2_cpu_register_bank_a|altsyncram:the_altsyncram|altsyncram_5tb1:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_register_bank_b_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_register_bank_b_module:mipi_vip_nios2_gen2_cpu_register_bank_b"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_mult_cell" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell"
- Info (12128): Elaborating entity "altera_mult_add" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altera_mult_add_bbo2.v
- Info (12023): Found entity 1: altera_mult_add_bbo2
- Info (12023): Found entity 1: altera_mult_add_bbo2
- Info (12128): Elaborating entity "altera_mult_add_bbo2" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated"
- Info (12128): Elaborating entity "altera_mult_add_rtl" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1"
- Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_register_function:signa_reg_block"
- Info (12128): Elaborating entity "ama_data_split_reg_ext_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:dataa_split"
- Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:dataa_split|ama_register_function:data_register_block_0"
- Info (12128): Elaborating entity "ama_dynamic_signed_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:dataa_split|ama_dynamic_signed_function:data0_signed_extension_block"
- Info (12128): Elaborating entity "ama_data_split_reg_ext_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:datac_split"
- Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:datac_split|ama_register_function:data_register_block_0"
- Info (12128): Elaborating entity "ama_dynamic_signed_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_data_split_reg_ext_function:datac_split|ama_dynamic_signed_function:data0_signed_extension_block"
- Info (12128): Elaborating entity "ama_preadder_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block"
- Info (12128): Elaborating entity "ama_adder_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block|ama_adder_function:preadder_adder_0"
- Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block|ama_adder_function:preadder_adder_0|ama_signed_extension_function:first_adder_ext_block_0"
- Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_preadder_function:preadder_block|ama_adder_function:preadder_adder_0|ama_signed_extension_function:second_adder_ext_block_0"
- Info (12128): Elaborating entity "ama_multiplier_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_multiplier_function:multiplier_block"
- Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_multiplier_function:multiplier_block|ama_register_function:multiplier_register_block_0"
- Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_multiplier_function:multiplier_block|ama_register_function:multiplier_register_block_1"
- Info (12128): Elaborating entity "ama_adder_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_adder_function:final_adder_block"
- Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_adder_function:final_adder_block|ama_signed_extension_function:first_adder_ext_block_0"
- Info (12128): Elaborating entity "ama_signed_extension_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_adder_function:final_adder_block|ama_signed_extension_function:second_adder_ext_block_0"
- Info (12128): Elaborating entity "ama_register_function" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_mult_cell:the_mipi_vip_nios2_gen2_cpu_mult_cell|altera_mult_add:the_altmult_add_p1|altera_mult_add_bbo2:auto_generated|altera_mult_add_rtl:altera_mult_add_rtl1|ama_register_function:output_reg_block"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_dc_tag_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_tag_module:mipi_vip_nios2_gen2_cpu_dc_tag"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_tag_module:mipi_vip_nios2_gen2_cpu_dc_tag|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9tb1.tdf
- Info (12023): Found entity 1: altsyncram_9tb1
- Info (12023): Found entity 1: altsyncram_9tb1
- Info (12128): Elaborating entity "altsyncram_9tb1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_tag_module:mipi_vip_nios2_gen2_cpu_dc_tag|altsyncram:the_altsyncram|altsyncram_9tb1:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_dc_data_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_data_module:mipi_vip_nios2_gen2_cpu_dc_data"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_data_module:mipi_vip_nios2_gen2_cpu_dc_data|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_aoe1.tdf
- Info (12023): Found entity 1: altsyncram_aoe1
- Info (12023): Found entity 1: altsyncram_aoe1
- Info (12128): Elaborating entity "altsyncram_aoe1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_data_module:mipi_vip_nios2_gen2_cpu_dc_data|altsyncram:the_altsyncram|altsyncram_aoe1:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_dc_victim_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_victim_module:mipi_vip_nios2_gen2_cpu_dc_victim"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_victim_module:mipi_vip_nios2_gen2_cpu_dc_victim|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_hec1.tdf
- Info (12023): Found entity 1: altsyncram_hec1
- Info (12023): Found entity 1: altsyncram_hec1
- Info (12128): Elaborating entity "altsyncram_hec1" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_dc_victim_module:mipi_vip_nios2_gen2_cpu_dc_victim|altsyncram:the_altsyncram|altsyncram_hec1:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_debug" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_debug:the_mipi_vip_nios2_gen2_cpu_nios2_oci_debug"
- Info (12128): Elaborating entity "altera_std_synchronizer" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_debug:the_mipi_vip_nios2_gen2_cpu_nios2_oci_debug|altera_std_synchronizer:the_altera_std_synchronizer"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_break" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_break:the_mipi_vip_nios2_gen2_cpu_nios2_oci_break"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk:the_mipi_vip_nios2_gen2_cpu_nios2_oci_xbrk"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk:the_mipi_vip_nios2_gen2_cpu_nios2_oci_dbrk"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_itrace" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_itrace:the_mipi_vip_nios2_gen2_cpu_nios2_oci_itrace"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace:the_mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace:the_mipi_vip_nios2_gen2_cpu_nios2_oci_dtrace|mipi_vip_nios2_gen2_cpu_nios2_oci_td_mode:mipi_vip_nios2_gen2_cpu_nios2_oci_trc_ctrl_td_mode"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_fifo" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo|mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt:the_mipi_vip_nios2_gen2_cpu_nios2_oci_compute_input_tm_cnt"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_wrptr_inc"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo|mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc:the_mipi_vip_nios2_gen2_cpu_nios2_oci_fifo_cnt_inc"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_pib" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_pib:the_mipi_vip_nios2_gen2_cpu_nios2_oci_pib"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_oci_im" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_oci_im:the_mipi_vip_nios2_gen2_cpu_nios2_oci_im"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_avalon_reg" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_avalon_reg:the_mipi_vip_nios2_gen2_cpu_nios2_avalon_reg"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_nios2_ocimem" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem|mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module:mipi_vip_nios2_gen2_cpu_ociram_sp_ram"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem|mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module:mipi_vip_nios2_gen2_cpu_ociram_sp_ram|altsyncram:the_altsyncram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_qk21.tdf
- Info (12023): Found entity 1: altsyncram_qk21
- Info (12023): Found entity 1: altsyncram_qk21
- Info (12128): Elaborating entity "altsyncram_qk21" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_nios2_ocimem:the_mipi_vip_nios2_gen2_cpu_nios2_ocimem|mipi_vip_nios2_gen2_cpu_ociram_sp_ram_module:mipi_vip_nios2_gen2_cpu_ociram_sp_ram|altsyncram:the_altsyncram|altsyncram_qk21:auto_generated"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_debug_slave_wrapper" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_debug_slave_tck" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|mipi_vip_nios2_gen2_cpu_debug_slave_tck:the_mipi_vip_nios2_gen2_cpu_debug_slave_tck"
- Info (12128): Elaborating entity "mipi_vip_nios2_gen2_cpu_debug_slave_sysclk" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|mipi_vip_nios2_gen2_cpu_debug_slave_sysclk:the_mipi_vip_nios2_gen2_cpu_debug_slave_sysclk"
- Info (12128): Elaborating entity "sld_virtual_jtag_basic" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy"
- Info (12128): Elaborating entity "sld_virtual_jtag_impl" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy|sld_virtual_jtag_impl:sld_virtual_jtag_impl_inst"
- Info (12128): Elaborating entity "sld_jtag_endpoint_adapter" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy|sld_virtual_jtag_impl:sld_virtual_jtag_impl_inst|sld_jtag_endpoint_adapter:jtag_signal_adapter"
- Info (12128): Elaborating entity "sld_jtag_endpoint_adapter_impl" for hierarchy "mipi_vip:u0|mipi_vip_nios2_gen2:nios2_gen2|mipi_vip_nios2_gen2_cpu:cpu|mipi_vip_nios2_gen2_cpu_nios2_oci:the_mipi_vip_nios2_gen2_cpu_nios2_oci|mipi_vip_nios2_gen2_cpu_debug_slave_wrapper:the_mipi_vip_nios2_gen2_cpu_debug_slave_wrapper|sld_virtual_jtag_basic:mipi_vip_nios2_gen2_cpu_debug_slave_phy|sld_virtual_jtag_impl:sld_virtual_jtag_impl_inst|sld_jtag_endpoint_adapter:jtag_signal_adapter|sld_jtag_endpoint_adapter_impl:sld_jtag_endpoint_adapter_impl_inst"
- Info (12128): Elaborating entity "mipi_vip_onchip_memory2" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2"
- Info (12128): Elaborating entity "altsyncram" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram"
- Info (12133): Instantiated megafunction "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram" with the following parameter:
- Info (12134): Parameter "byte_size" = "8"
- Info (12134): Parameter "init_file" = "mipi_vip_onchip_memory2.hex"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "maximum_depth" = "17500"
- Info (12134): Parameter "numwords_a" = "17500"
- Info (12134): Parameter "operation_mode" = "SINGLE_PORT"
- Info (12134): Parameter "outdata_reg_a" = "UNREGISTERED"
- Info (12134): Parameter "ram_block_type" = "AUTO"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "width_a" = "32"
- Info (12134): Parameter "width_byteena_a" = "4"
- Info (12134): Parameter "widthad_a" = "15"
- Info (12134): Parameter "byte_size" = "8"
- Info (12134): Parameter "init_file" = "mipi_vip_onchip_memory2.hex"
- Info (12134): Parameter "lpm_type" = "altsyncram"
- Info (12134): Parameter "maximum_depth" = "17500"
- Info (12134): Parameter "numwords_a" = "17500"
- Info (12134): Parameter "operation_mode" = "SINGLE_PORT"
- Info (12134): Parameter "outdata_reg_a" = "UNREGISTERED"
- Info (12134): Parameter "ram_block_type" = "AUTO"
- Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE"
- Info (12134): Parameter "width_a" = "32"
- Info (12134): Parameter "width_byteena_a" = "4"
- Info (12134): Parameter "widthad_a" = "15"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_lfc1.tdf
- Info (12023): Found entity 1: altsyncram_lfc1
- Info (12023): Found entity 1: altsyncram_lfc1
- Info (12128): Elaborating entity "altsyncram_lfc1" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram|altsyncram_lfc1:auto_generated"
- Warning (113015): Width of data items in "mipi_vip_onchip_memory2.hex" is greater than the memory width. Wrapping data items to subsequent addresses. Found 2188 warnings, reporting 10
- Warning (113009): Data at line (2) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (3) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (4) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (5) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (6) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (7) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (8) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (9) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (10) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (11) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (2) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (3) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (4) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (5) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (6) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (7) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (8) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (9) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (10) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Warning (113009): Data at line (11) of memory initialization file "mipi_vip_onchip_memory2.hex" is too wide to fit in one memory word. Wrapping data to subsequent addresses.
- Info (12021): Found 1 design units, including 1 entities, in source file db/decode_b7a.tdf
- Info (12023): Found entity 1: decode_b7a
- Info (12023): Found entity 1: decode_b7a
- Info (12128): Elaborating entity "decode_b7a" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram|altsyncram_lfc1:auto_generated|decode_b7a:decode3"
- Info (12021): Found 1 design units, including 1 entities, in source file db/mux_83b.tdf
- Info (12023): Found entity 1: mux_83b
- Info (12023): Found entity 1: mux_83b
- Info (12128): Elaborating entity "mux_83b" for hierarchy "mipi_vip:u0|mipi_vip_onchip_memory2:onchip_memory2|altsyncram:the_altsyncram|altsyncram_lfc1:auto_generated|mux_83b:mux2"
- Info (12128): Elaborating entity "mipi_vip_sw" for hierarchy "mipi_vip:u0|mipi_vip_sw:sw"
- Info (12128): Elaborating entity "mipi_vip_sysid_qsys" for hierarchy "mipi_vip:u0|mipi_vip_sysid_qsys:sysid_qsys"
- Info (12128): Elaborating entity "TERASIC_Bayer2RGB" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb"
- Info (12128): Elaborating entity "Bayer2RGB" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst"
- Info (12128): Elaborating entity "Bayer_LineBuffer" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst"
- Info (12128): Elaborating entity "altshift_taps" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component" with the following parameter:
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=M9K"
- Info (12134): Parameter "lpm_type" = "altshift_taps"
- Info (12134): Parameter "number_of_taps" = "3"
- Info (12134): Parameter "tap_distance" = "1024"
- Info (12134): Parameter "width" = "12"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_hint" = "RAM_BLOCK_TYPE=M9K"
- Info (12134): Parameter "lpm_type" = "altshift_taps"
- Info (12134): Parameter "number_of_taps" = "3"
- Info (12134): Parameter "tap_distance" = "1024"
- Info (12134): Parameter "width" = "12"
- Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_e1v.tdf
- Info (12023): Found entity 1: shift_taps_e1v
- Info (12023): Found entity 1: shift_taps_e1v
- Info (12128): Elaborating entity "shift_taps_e1v" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_pkc1.tdf
- Info (12023): Found entity 1: altsyncram_pkc1
- Info (12023): Found entity 1: altsyncram_pkc1
- Info (12128): Elaborating entity "altsyncram_pkc1" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|altsyncram_pkc1:altsyncram2"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_t9f.tdf
- Info (12023): Found entity 1: cntr_t9f
- Info (12023): Found entity 1: cntr_t9f
- Info (12128): Elaborating entity "cntr_t9f" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|cntr_t9f:cntr1"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_tsb.tdf
- Info (12023): Found entity 1: cmpr_tsb
- Info (12023): Found entity 1: cmpr_tsb
- Info (12128): Elaborating entity "cmpr_tsb" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|cntr_t9f:cntr1|cmpr_tsb:cmpr6"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_jpg.tdf
- Info (12023): Found entity 1: cntr_jpg
- Info (12023): Found entity 1: cntr_jpg
- Info (12128): Elaborating entity "cntr_jpg" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|Bayer_LineBuffer:Bayer_LineBuffer_Inst|altshift_taps:ALTSHIFT_TAPS_component|shift_taps_e1v:auto_generated|cntr_jpg:cntr3"
- Info (12128): Elaborating entity "add4" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1"
- Info (12128): Elaborating entity "parallel_add" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component" with the following parameter:
- Info (12134): Parameter "msw_subtract" = "NO"
- Info (12134): Parameter "pipeline" = "0"
- Info (12134): Parameter "representation" = "UNSIGNED"
- Info (12134): Parameter "result_alignment" = "LSB"
- Info (12134): Parameter "shift" = "0"
- Info (12134): Parameter "size" = "4"
- Info (12134): Parameter "width" = "12"
- Info (12134): Parameter "widthr" = "14"
- Info (12134): Parameter "msw_subtract" = "NO"
- Info (12134): Parameter "pipeline" = "0"
- Info (12134): Parameter "representation" = "UNSIGNED"
- Info (12134): Parameter "result_alignment" = "LSB"
- Info (12134): Parameter "shift" = "0"
- Info (12134): Parameter "size" = "4"
- Info (12134): Parameter "width" = "12"
- Info (12134): Parameter "widthr" = "14"
- Info (12021): Found 1 design units, including 1 entities, in source file db/par_add_1ae.tdf
- Info (12023): Found entity 1: par_add_1ae
- Info (12023): Found entity 1: par_add_1ae
- Info (12128): Elaborating entity "par_add_1ae" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add4:add4_avg1|parallel_add:parallel_add_component|par_add_1ae:auto_generated"
- Info (12128): Elaborating entity "add2" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3"
- Info (12128): Elaborating entity "parallel_add" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component" with the following parameter:
- Info (12134): Parameter "msw_subtract" = "NO"
- Info (12134): Parameter "pipeline" = "0"
- Info (12134): Parameter "representation" = "UNSIGNED"
- Info (12134): Parameter "result_alignment" = "LSB"
- Info (12134): Parameter "shift" = "0"
- Info (12134): Parameter "size" = "2"
- Info (12134): Parameter "width" = "12"
- Info (12134): Parameter "widthr" = "13"
- Info (12134): Parameter "msw_subtract" = "NO"
- Info (12134): Parameter "pipeline" = "0"
- Info (12134): Parameter "representation" = "UNSIGNED"
- Info (12134): Parameter "result_alignment" = "LSB"
- Info (12134): Parameter "shift" = "0"
- Info (12134): Parameter "size" = "2"
- Info (12134): Parameter "width" = "12"
- Info (12134): Parameter "widthr" = "13"
- Info (12021): Found 1 design units, including 1 entities, in source file db/par_add_u9e.tdf
- Info (12023): Found entity 1: par_add_u9e
- Info (12023): Found entity 1: par_add_u9e
- Info (12128): Elaborating entity "par_add_u9e" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|Bayer2RGB:Bayer2RGB_inst|add2:add2_avg3|parallel_add:parallel_add_component|par_add_u9e:auto_generated"
- Info (12128): Elaborating entity "rgb_fifo" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst"
- Info (12128): Elaborating entity "scfifo" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component"
- Info (12133): Instantiated megafunction "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component" with the following parameter:
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_numwords" = "1024"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "38"
- Info (12134): Parameter "lpm_widthu" = "10"
- Info (12134): Parameter "overflow_checking" = "ON"
- Info (12134): Parameter "underflow_checking" = "ON"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "add_ram_output_register" = "ON"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_numwords" = "1024"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "scfifo"
- Info (12134): Parameter "lpm_width" = "38"
- Info (12134): Parameter "lpm_widthu" = "10"
- Info (12134): Parameter "overflow_checking" = "ON"
- Info (12134): Parameter "underflow_checking" = "ON"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12021): Found 1 design units, including 1 entities, in source file db/scfifo_5o21.tdf
- Info (12023): Found entity 1: scfifo_5o21
- Info (12023): Found entity 1: scfifo_5o21
- Info (12128): Elaborating entity "scfifo_5o21" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_dpfifo_cu21.tdf
- Info (12023): Found entity 1: a_dpfifo_cu21
- Info (12023): Found entity 1: a_dpfifo_cu21
- Info (12128): Elaborating entity "a_dpfifo_cu21" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9ug1.tdf
- Info (12023): Found entity 1: altsyncram_9ug1
- Info (12023): Found entity 1: altsyncram_9ug1
- Info (12128): Elaborating entity "altsyncram_9ug1" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|altsyncram_9ug1:FIFOram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_k88.tdf
- Info (12023): Found entity 1: cmpr_k88
- Info (12023): Found entity 1: cmpr_k88
- Info (12128): Elaborating entity "cmpr_k88" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cmpr_k88:almost_full_comparer"
- Info (12128): Elaborating entity "cmpr_k88" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cmpr_k88:three_comparison"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_q2b.tdf
- Info (12023): Found entity 1: cntr_q2b
- Info (12023): Found entity 1: cntr_q2b
- Info (12128): Elaborating entity "cntr_q2b" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cntr_q2b:rd_ptr_msb"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_e47.tdf
- Info (12023): Found entity 1: cntr_e47
- Info (12023): Found entity 1: cntr_e47
- Info (12128): Elaborating entity "cntr_e47" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cntr_e47:usedw_counter"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_24b.tdf
- Info (12023): Found entity 1: cntr_24b
- Info (12023): Found entity 1: cntr_24b
- Info (12128): Elaborating entity "cntr_24b" for hierarchy "mipi_vip:u0|TERASIC_Bayer2RGB:terasic_bayer2rgb|rgb_fifo:rgb_fifo_inst|scfifo:scfifo_component|scfifo_5o21:auto_generated|a_dpfifo_cu21:dpfifo|cntr_24b:wr_ptr"
- Info (12128): Elaborating entity "mipi_vip_timer" for hierarchy "mipi_vip:u0|mipi_vip_timer:timer"
- Info (12128): Elaborating entity "mipi_vip_tpg" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg"
- Info (12128): Elaborating entity "alt_vip_tpg_core" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_core:tpg_core"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_core:tpg_core|alt_vip_common_event_packet_decode:cmd_input"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_core:tpg_core|alt_vip_common_event_packet_encode:data_output"
- Info (12128): Elaborating entity "alt_vip_tpg_scheduler" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_tpg_scheduler:scheduler"
- Info (12128): Elaborating entity "alt_vip_control_slave" for hierarchy "mipi_vip:u0|mipi_vip_tpg:tpg|alt_vip_control_slave:control_slave"
- Info (12128): Elaborating entity "mipi_vip_vip_mixer" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer"
- Info (12128): Elaborating entity "alt_vip_tpg_core" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_tpg_core:tpg"
- Info (12128): Elaborating entity "alt_vip_mix_alg_core" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_alg_core:mix"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_decode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_alg_core:mix|alt_vip_common_event_packet_decode:cmd_decoder"
- Info (12128): Elaborating entity "alt_vip_mix_scheduler" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler"
- Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "command_vib_args_in" into its bus
- Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "resp_vib_args_out" into its bus
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_vob_encoder"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler|alt_vip_common_event_packet_encode:cmd_mix_encoder"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_mix_scheduler:scheduler|alt_vip_common_event_packet_encode:tpg_alg_core_cmd_encoder"
- Info (12128): Elaborating entity "alt_vip_control_slave" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_control_slave:control"
- Info (12128): Elaborating entity "alt_vip_common_event_packet_encode" for hierarchy "mipi_vip:u0|mipi_vip_vip_mixer:vip_mixer|alt_vip_control_slave:control|alt_vip_common_event_packet_encode:resp_encoder"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0"
- Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:nios2_gen2_data_master_translator"
- Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_translator:nios2_gen2_instruction_master_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:jtag_uart_avalon_jtag_slave_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:i2c_opencores_mipi_avalon_slave_0_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:tpg_control_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:vip_mixer_control_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:sysid_qsys_control_slave_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:nios2_gen2_debug_mem_slave_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:altpll_mipi_pll_slave_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:onchip_memory2_s1_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:timer_s1_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_translator:mipi_reset_n_s1_translator"
- Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:nios2_gen2_data_master_agent"
- Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_master_agent:nios2_gen2_instruction_master_agent"
- Info (12128): Elaborating entity "altera_merlin_slave_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:jtag_uart_avalon_jtag_slave_agent"
- Info (12128): Elaborating entity "altera_merlin_burst_uncompressor" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_slave_agent:jtag_uart_avalon_jtag_slave_agent|altera_merlin_burst_uncompressor:uncompressor"
- Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:jtag_uart_avalon_jtag_slave_agent_rsp_fifo"
- Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:i2c_opencores_mipi_avalon_slave_0_agent_rdata_fifo"
- Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:tpg_control_agent_rsp_fifo"
- Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_sc_fifo:tpg_control_agent_rdata_fifo"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router:router"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router:router|mipi_vip_mm_interconnect_0_router_default_decode:the_default_decode"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_001:router_001"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_001_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_001:router_001|mipi_vip_mm_interconnect_0_router_001_default_decode:the_default_decode"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_002" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_002:router_002"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_002_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_002:router_002|mipi_vip_mm_interconnect_0_router_002_default_decode:the_default_decode"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_007" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_007:router_007"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_router_007_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_router_007:router_007|mipi_vip_mm_interconnect_0_router_007_default_decode:the_default_decode"
- Info (12128): Elaborating entity "altera_merlin_traffic_limiter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_traffic_limiter:nios2_gen2_data_master_limiter"
- Info (12128): Elaborating entity "altera_merlin_traffic_limiter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_merlin_traffic_limiter:nios2_gen2_instruction_master_limiter"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_demux:cmd_demux"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_demux_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_demux_001:cmd_demux_001"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux:cmd_mux"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_cmd_mux_005" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux_005:cmd_mux_005"
- Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux_005:cmd_mux_005|altera_merlin_arbitrator:arb"
- Info (12128): Elaborating entity "altera_merlin_arb_adder" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_cmd_mux_005:cmd_mux_005|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_demux:rsp_demux"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_demux_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_demux_001:rsp_demux_001"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_demux_005" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_demux_005:rsp_demux_005"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux:rsp_mux"
- Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb"
- Info (12128): Elaborating entity "altera_merlin_arb_adder" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux:rsp_mux|altera_merlin_arbitrator:arb|altera_merlin_arb_adder:adder"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_rsp_mux_001" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux_001:rsp_mux_001"
- Info (12128): Elaborating entity "altera_merlin_arbitrator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_rsp_mux_001:rsp_mux_001|altera_merlin_arbitrator:arb"
- Info (12128): Elaborating entity "altera_avalon_st_handshake_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_st_handshake_clock_crosser:crosser"
- Info (12128): Elaborating entity "altera_avalon_st_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_st_handshake_clock_crosser:crosser|altera_avalon_st_clock_crosser:clock_xer"
- Info (12128): Elaborating entity "altera_std_synchronizer_nocut" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|altera_avalon_st_handshake_clock_crosser:crosser|altera_avalon_st_clock_crosser:clock_xer|altera_std_synchronizer_nocut:in_to_out_synchronizer"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_0:mm_interconnect_0|mipi_vip_mm_interconnect_0_avalon_st_adapter:avalon_st_adapter|mipi_vip_mm_interconnect_0_avalon_st_adapter_error_adapter_0:error_adapter_0"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1"
- Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_translator:frame_buffer_mem_master_rd_translator"
- Info (12128): Elaborating entity "altera_merlin_master_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_translator:frame_buffer_mem_master_wr_translator"
- Info (12128): Elaborating entity "altera_merlin_slave_translator" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_slave_translator:mem_if_ddr3_emif_avl_translator"
- Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_agent:frame_buffer_mem_master_rd_agent"
- Info (12128): Elaborating entity "altera_merlin_master_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_master_agent:frame_buffer_mem_master_wr_agent"
- Info (12128): Elaborating entity "altera_merlin_slave_agent" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_slave_agent:mem_if_ddr3_emif_avl_agent"
- Info (12128): Elaborating entity "altera_merlin_burst_uncompressor" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_slave_agent:mem_if_ddr3_emif_avl_agent|altera_merlin_burst_uncompressor:uncompressor"
- Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_sc_fifo:mem_if_ddr3_emif_avl_agent_rsp_fifo"
- Info (12128): Elaborating entity "altera_avalon_sc_fifo" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_sc_fifo:mem_if_ddr3_emif_avl_agent_rdata_fifo"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router:router"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router:router|mipi_vip_mm_interconnect_1_router_default_decode:the_default_decode"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router_002" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router_002:router_002"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_router_002_default_decode" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_router_002:router_002|mipi_vip_mm_interconnect_1_router_002_default_decode:the_default_decode"
- Info (12128): Elaborating entity "altera_merlin_burst_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter"
- Info (12128): Elaborating entity "altera_merlin_burst_adapter_13_1" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter"
- Warning (10230): Verilog HDL assignment warning at altera_merlin_burst_adapter_13_1.sv(790): truncated value with size 11 to match size of target (1)
- Info (12128): Elaborating entity "altera_merlin_address_alignment" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_address_alignment:align_address_to_size"
- Info (12128): Elaborating entity "altera_merlin_burst_adapter_burstwrap_increment" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_burstwrap_increment:the_burstwrap_increment"
- Info (12128): Elaborating entity "altera_merlin_burst_adapter_min" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min"
- Info (12128): Elaborating entity "altera_merlin_burst_adapter_subtractor" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub"
- Info (12128): Elaborating entity "altera_merlin_burst_adapter_adder" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_burst_adapter:mem_if_ddr3_emif_avl_burst_adapter|altera_merlin_burst_adapter_13_1:altera_merlin_burst_adapter_13_1.burst_adapter|altera_merlin_burst_adapter_min:the_min|altera_merlin_burst_adapter_subtractor:ab_sub|altera_merlin_burst_adapter_adder:subtract"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_cmd_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_cmd_demux:cmd_demux"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_cmd_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_cmd_mux:cmd_mux"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_rsp_demux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_rsp_demux:rsp_demux"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_rsp_mux" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_rsp_mux:rsp_mux"
- Info (12128): Elaborating entity "altera_merlin_width_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_width_adapter:mem_if_ddr3_emif_avl_rsp_width_adapter"
- Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(283): object "in_write" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(742): object "aligned_addr" assigned a value but never read
- Warning (10036): Verilog HDL or VHDL warning at altera_merlin_width_adapter.sv(743): object "aligned_byte_cnt" assigned a value but never read
- Info (12128): Elaborating entity "altera_merlin_width_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_merlin_width_adapter:mem_if_ddr3_emif_avl_cmd_width_adapter"
- Info (12128): Elaborating entity "altera_avalon_st_handshake_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_st_handshake_clock_crosser:crosser"
- Info (12128): Elaborating entity "altera_avalon_st_clock_crosser" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|altera_avalon_st_handshake_clock_crosser:crosser|altera_avalon_st_clock_crosser:clock_xer"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_avalon_st_adapter:avalon_st_adapter"
- Info (12128): Elaborating entity "mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_mm_interconnect_1:mm_interconnect_1|mipi_vip_mm_interconnect_1_avalon_st_adapter:avalon_st_adapter|mipi_vip_mm_interconnect_1_avalon_st_adapter_error_adapter_0:error_adapter_0"
- Info (12128): Elaborating entity "mipi_vip_irq_mapper" for hierarchy "mipi_vip:u0|mipi_vip_irq_mapper:irq_mapper"
- Info (12128): Elaborating entity "altera_irq_clock_crosser" for hierarchy "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer"
- Info (12128): Elaborating entity "altera_std_synchronizer_bundle" for hierarchy "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync"
- Info (12130): Elaborated megafunction instantiation "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync"
- Info (12133): Instantiated megafunction "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync" with the following parameter:
- Info (12134): Parameter "depth" = "3"
- Info (12134): Parameter "width" = "1"
- Info (12134): Parameter "depth" = "3"
- Info (12134): Parameter "width" = "1"
- Info (12128): Elaborating entity "altera_std_synchronizer" for hierarchy "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync|altera_std_synchronizer:sync[0].u"
- Info (12131): Elaborated megafunction instantiation "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync|altera_std_synchronizer:sync[0].u", which is child of megafunction instantiation "mipi_vip:u0|altera_irq_clock_crosser:irq_synchronizer|altera_std_synchronizer_bundle:sync"
- Info (12128): Elaborating entity "mipi_vip_avalon_st_adapter" for hierarchy "mipi_vip:u0|mipi_vip_avalon_st_adapter:avalon_st_adapter"
- Info (12128): Elaborating entity "mipi_vip_avalon_st_adapter_timing_adapter_0" for hierarchy "mipi_vip:u0|mipi_vip_avalon_st_adapter:avalon_st_adapter|mipi_vip_avalon_st_adapter_timing_adapter_0:timing_adapter_0"
- Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller"
- Info (12128): Elaborating entity "altera_reset_synchronizer" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_sync_uq1"
- Info (12128): Elaborating entity "altera_reset_synchronizer" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller|altera_reset_synchronizer:alt_rst_req_sync_uq1"
- Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller_002"
- Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller_003"
- Info (12128): Elaborating entity "altera_reset_controller" for hierarchy "mipi_vip:u0|altera_reset_controller:rst_controller_005"
- Warning (12125): Using design file mipi_cs2_stream.v, which is not specified as a design file for the current project, but contains definitions for 4 design units and 4 entities in project
- Info (12023): Found entity 1: MIPI_D_PHY_x4_HS
- Info (12023): Found entity 2: MIPI_STREAM
- Info (12023): Found entity 3: MIPI_CS2
- Info (12023): Found entity 4: mipi_cs2_stream
- Info (12023): Found entity 1: MIPI_D_PHY_x4_HS
- Info (12023): Found entity 2: MIPI_STREAM
- Info (12023): Found entity 3: MIPI_CS2
- Info (12023): Found entity 4: mipi_cs2_stream
- Warning (10236): Verilog HDL Implicit Net warning at mipi_cs2_stream.v(679): created implicit net for "is_raw8_data"
- Info (12128): Elaborating entity "mipi_cs2_stream" for hierarchy "mipi_cs2_stream:u1"
- Info (12128): Elaborating entity "MIPI_D_PHY_x4_HS" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera"
- Info (12128): Elaborating entity "mipi_lvds" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera|mipi_lvds:mipi_lvds_inst"
- Info (12128): Elaborating entity "mipi_lvds_0002" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera|mipi_lvds:mipi_lvds_inst|mipi_lvds_0002:mipi_lvds_inst"
- Info (12128): Elaborating entity "mipi_lvds_0002_lvds_ddio_in_7td" for hierarchy "mipi_cs2_stream:u1|MIPI_D_PHY_x4_HS:camera|mipi_lvds:mipi_lvds_inst|mipi_lvds_0002:mipi_lvds_inst|mipi_lvds_0002_lvds_ddio_in_7td:lvds_ddio_in1"
- Info (12128): Elaborating entity "MIPI_CS2" for hierarchy "mipi_cs2_stream:u1|MIPI_CS2:camera_cs2"
- Warning (12125): Using design file mipi_crc16.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
- Info (12023): Found entity 1: mipi_crc16
- Info (12023): Found entity 1: mipi_crc16
- Info (12128): Elaborating entity "mipi_crc16" for hierarchy "mipi_cs2_stream:u1|MIPI_CS2:camera_cs2|mipi_crc16:mipi_crc16_inst"
- Info (12128): Elaborating entity "MIPI_STREAM" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream"
- Info (12128): Elaborating entity "stream_fifo" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi"
- Info (12128): Elaborating entity "dcfifo" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component"
- Info (12130): Elaborated megafunction instantiation "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component"
- Info (12133): Instantiated megafunction "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component" with the following parameter:
- Info (12134): Parameter "add_usedw_msb_bit" = "ON"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_numwords" = "8192"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "dcfifo"
- Info (12134): Parameter "lpm_width" = "10"
- Info (12134): Parameter "lpm_widthu" = "14"
- Info (12134): Parameter "overflow_checking" = "ON"
- Info (12134): Parameter "rdsync_delaypipe" = "5"
- Info (12134): Parameter "read_aclr_synch" = "ON"
- Info (12134): Parameter "underflow_checking" = "ON"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "write_aclr_synch" = "ON"
- Info (12134): Parameter "wrsync_delaypipe" = "5"
- Info (12134): Parameter "add_usedw_msb_bit" = "ON"
- Info (12134): Parameter "intended_device_family" = "MAX 10"
- Info (12134): Parameter "lpm_numwords" = "8192"
- Info (12134): Parameter "lpm_showahead" = "ON"
- Info (12134): Parameter "lpm_type" = "dcfifo"
- Info (12134): Parameter "lpm_width" = "10"
- Info (12134): Parameter "lpm_widthu" = "14"
- Info (12134): Parameter "overflow_checking" = "ON"
- Info (12134): Parameter "rdsync_delaypipe" = "5"
- Info (12134): Parameter "read_aclr_synch" = "ON"
- Info (12134): Parameter "underflow_checking" = "ON"
- Info (12134): Parameter "use_eab" = "ON"
- Info (12134): Parameter "write_aclr_synch" = "ON"
- Info (12134): Parameter "wrsync_delaypipe" = "5"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dcfifo_1sk1.tdf
- Info (12023): Found entity 1: dcfifo_1sk1
- Info (12023): Found entity 1: dcfifo_1sk1
- Info (12128): Elaborating entity "dcfifo_1sk1" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_vh6.tdf
- Info (12023): Found entity 1: a_graycounter_vh6
- Info (12023): Found entity 1: a_graycounter_vh6
- Info (12128): Elaborating entity "a_graycounter_vh6" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|a_graycounter_vh6:rdptr_g1p"
- Info (12021): Found 1 design units, including 1 entities, in source file db/a_graycounter_rvb.tdf
- Info (12023): Found entity 1: a_graycounter_rvb
- Info (12023): Found entity 1: a_graycounter_rvb
- Info (12128): Elaborating entity "a_graycounter_rvb" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|a_graycounter_rvb:wrptr_g1p"
- Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_f541.tdf
- Info (12023): Found entity 1: altsyncram_f541
- Info (12023): Found entity 1: altsyncram_f541
- Info (12128): Elaborating entity "altsyncram_f541" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|altsyncram_f541:fifo_ram"
- Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_cpl.tdf
- Info (12023): Found entity 1: alt_synch_pipe_cpl
- Info (12023): Found entity 1: alt_synch_pipe_cpl
- Info (12128): Elaborating entity "alt_synch_pipe_cpl" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_cpl:rs_dgwp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_te9.tdf
- Info (12023): Found entity 1: dffpipe_te9
- Info (12023): Found entity 1: dffpipe_te9
- Info (12128): Elaborating entity "dffpipe_te9" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_cpl:rs_dgwp|dffpipe_te9:dffpipe12"
- Info (12021): Found 1 design units, including 1 entities, in source file db/alt_synch_pipe_dpl.tdf
- Info (12023): Found entity 1: alt_synch_pipe_dpl
- Info (12023): Found entity 1: alt_synch_pipe_dpl
- Info (12128): Elaborating entity "alt_synch_pipe_dpl" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_dpl:ws_dgrp"
- Info (12021): Found 1 design units, including 1 entities, in source file db/dffpipe_ue9.tdf
- Info (12023): Found entity 1: dffpipe_ue9
- Info (12023): Found entity 1: dffpipe_ue9
- Info (12128): Elaborating entity "dffpipe_ue9" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|alt_synch_pipe_dpl:ws_dgrp|dffpipe_ue9:dffpipe15"
- Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_3h5.tdf
- Info (12023): Found entity 1: cmpr_3h5
- Info (12023): Found entity 1: cmpr_3h5
- Info (12128): Elaborating entity "cmpr_3h5" for hierarchy "mipi_cs2_stream:u1|MIPI_STREAM:camera_c2_stream|stream_fifo:stream_fifo_mipi|dcfifo:dcfifo_component|dcfifo_1sk1:auto_generated|cmpr_3h5:rdempty_eq_comp1_lsb"
- Warning (12125): Using design file heart_beat.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
- Info (12023): Found entity 1: heart_beat
- Info (12023): Found entity 1: heart_beat
- Info (12128): Elaborating entity "heart_beat" for hierarchy "heart_beat:heart_beat_pkg_clk"
- Warning (10230): Verilog HDL assignment warning at heart_beat.v(18): truncated value with size 32 to match size of target (14)
- Info (12128): Elaborating entity "heart_beat" for hierarchy "heart_beat:heart_beat_pixel_frame_valid"
- Warning (10230): Verilog HDL assignment warning at heart_beat.v(18): truncated value with size 32 to match size of target (26)
- Warning (12125): Using design file i2c_hdmi_config.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
- Info (12023): Found entity 1: I2C_HDMI_Config
- Info (12023): Found entity 1: I2C_HDMI_Config
- Info (12128): Elaborating entity "I2C_HDMI_Config" for hierarchy "I2C_HDMI_Config:u2"
- Warning (10230): Verilog HDL assignment warning at i2c_hdmi_config.v(45): truncated value with size 32 to match size of target (16)
- Warning (10230): Verilog HDL assignment warning at i2c_hdmi_config.v(93): truncated value with size 32 to match size of target (6)
- Warning (12125): Using design file i2c_controller.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
- Info (12023): Found entity 1: I2C_Controller
- Info (12023): Found entity 1: I2C_Controller
- Info (12128): Elaborating entity "I2C_Controller" for hierarchy "I2C_HDMI_Config:u2|I2C_Controller:u0"
- Warning (10230): Verilog HDL assignment warning at i2c_controller.v(78): truncated value with size 32 to match size of target (1)
- Warning (10230): Verilog HDL assignment warning at i2c_controller.v(77): truncated value with size 32 to match size of target (1)
- Warning (10230): Verilog HDL assignment warning at i2c_controller.v(90): truncated value with size 32 to match size of target (6)
- Error (12002): Port "rx_outclock" does not exist in macrofunction "mipi_lvds_inst"
- Warning (12020): Port "data0x" on the entity instantiation of "add2_avg4" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data1x" on the entity instantiation of "add2_avg4" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data0x" on the entity instantiation of "add2_avg3" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data1x" on the entity instantiation of "add2_avg3" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data0x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data1x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data2x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data3x" on the entity instantiation of "add4_avg2" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data0x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data1x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data2x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "data3x" on the entity instantiation of "add4_avg1" is connected to a signal of width 14. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "BAYER_HEIGHT" on the entity instantiation of "Bayer2RGB_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12020): Port "BAYER_WIDTH" on the entity instantiation of "Bayer2RGB_inst" is connected to a signal of width 32. The formal width of the signal in the module is 12. The extra bits will be ignored.
- Warning (12030): Port "RGB_VALID" on the entity instantiation of "Bayer2RGB_inst" is connected to a signal of width 12. The formal width of the signal in the module is 1. The extra bits will be left dangling without any fan-out logic.
- Warning (12020): Port "jdo" on the entity instantiation of "the_mipi_vip_nios2_gen2_cpu_nios2_oci_itrace" is connected to a signal of width 38. The formal width of the signal in the module is 16. The extra bits will be ignored.
- Warning (12241): 35 hierarchies have connectivity warnings - see the Connectivity Checks report folder
- Info (144001): Generated suppressed messages file C:/Users/Elliott Tech/Desktop/Altera/workspace/8_MIPI_to_HDMI_Terasic/output_files/mipi_to_hdmi_terasic.map.smsg
- Error: Quartus Prime Analysis & Synthesis was unsuccessful. 1 error, 144 warnings
- Error: Peak virtual memory: 1384 megabytes
- Error: Processing ended: Tue Jan 19 16:33:52 2016
- Error: Elapsed time: 00:01:34
- Error: Total CPU time (on all processors): 00:01:27
- Error: Peak virtual memory: 1384 megabytes
- Error: Processing ended: Tue Jan 19 16:33:52 2016
- Error: Elapsed time: 00:01:34
- Error: Total CPU time (on all processors): 00:01:27
- Error (293001): Quartus Prime Full Compilation was unsuccessful. 3 errors, 144 warnings
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement