Advertisement
Guest User

Untitled

a guest
Jun 26th, 2017
59
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module BCDcounter2(clk,en1,en2,eg,cl,Q,rcy);
  2.     input clk;
  3.     input en1;
  4.     input en2;
  5.     input eg;
  6.     input cl;
  7.     output [3:0] Q;
  8.     output rcy;
  9.  
  10.     reg [3:0] Q;
  11.  
  12. assign ccy = (Q == 9);
  13. assign rcy = ccy & en1;
  14. always @(posedge clk)
  15. begin
  16.    if (cl | en1)
  17.       Q <= 0;
  18.    else
  19.     if ((en1 & en2) | eg)
  20.     begin
  21.        if (!ccy) Q <= Q + 1;
  22.        else Q <= 0;
  23.         end
  24.     else        Q <= Q;
  25. end
  26. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement