Guest User

Untitled

a guest
May 19th, 2018
169
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module SevenSegmentDisplayDecoder(ssOut, nIn);
  2.   output [6:0] ssOut;
  3.   input [3:0] nIn;
  4.  
  5.   reg [6:0] ssTmp;
  6.  
  7.   // ssOut format {g, f, e, d, c, b, a}
  8.  
  9.   always @(nIn)
  10.     case (nIn)
  11.       4'h0: ssTmp <= 7'b0111111;
  12.       4'h1: ssTmp <= 7'b0000110;
  13.       4'h2: ssTmp <= 7'b1011011;
  14.       4'h3: ssTmp <= 7'b1001111;
  15.       4'h4: ssTmp <= 7'b1100110;
  16.       4'h5: ssTmp <= 7'b1101101;
  17.       4'h6: ssTmp <= 7'b1111101;
  18.       4'h7: ssTmp <= 7'b0000111;
  19.       4'h8: ssTmp <= 7'b1111111;
  20.       4'h9: ssTmp <= 7'b1100111;
  21.       4'hA: ssTmp <= 7'b1110111;
  22.       4'hB: ssTmp <= 7'b1111100;
  23.       4'hC: ssTmp <= 7'b0111001;
  24.       4'hD: ssTmp <= 7'b1011110;
  25.       4'hE: ssTmp <= 7'b1111001;
  26.       4'hF: ssTmp <= 7'b1110001;
  27.       default: ssTmp <= 7'b1001001;
  28.     endcase
  29.  
  30.     assign ssOut = ssTmp;
  31. endmodule
Add Comment
Please, Sign In to add comment