Advertisement
Guest User

Untitled

a guest
Mar 29th, 2017
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 12.51 KB | None | 0 0
  1. # Design and Schematic
  2.  
  3. # General
  4. * Is the design passively or actively safe? For example, if an MCU or FPGA is being programmed, held in reset, or in their POR, does the circuit enter a safe / idle / known state? Is the circuit only hot under control (can it be)?
  5. * Can you detect all relevant environmental changes? If changes in environment indicate a change in operating state or mode, can you detect them? (e.g. VBUS high on a self-powered USB device, SD card in socket, a particular connector plugged in)
  6. * FETs have pull-ups / -downs?
  7. * BJTs properly biased for both levels and current?
  8. * Chip selects have pull-ups / -downs?
  9. * Pull-up / -down resistors appropriate value for expected environment/noise?
  10. * Enable pins checked for polarity. Not all datasheets indicate this well, pin description text checked? Part number verified for ICs with orderable polarity configurations?
  11. * Shutdown pins checked for polarity?
  12. * Enable and shutdown pins pulled to the correct level when not under control? (e.g. shut down unless controlled)
  13. * Address select pins properly tied / pulled to the correct level?
  14. * Pull-ups on open-drain, open-collector nets? Values checked for required slew rates / throughput / noise?
  15. * Input / output pairs matched for all single-ended transceivers? (e.g. RX->TX, TX->RX for UART)
  16. * Differential pairs polarity checked? (e.g. H/L for CAN, D+/D- for USB)
  17. * Passive / buffered visual indicators (e.g. LEDs) at appropriate level for the idle level of the protocol? (e.g. UART idle high, SPI clock idle low, etc)
  18. * High-speed lines have appropriate series termination?
  19. * Crystals have load capacitors if required? Stray capacitance of PCB trace factored in?
  20. * Trimming potentiometers and capacitors increase their value when turned clockwise?
  21. * Exposed pads on no-leads packages tied to correct net? Needs to be left floating?
  22. * FPGAs have built-in configuration flash or have external flash available if not?
  23. * MCUs have built-in program flash or have external flash available if not?
  24. * MCUs have enough flash for debugging routines and unoptimized code on prototypes?
  25. * Bootloader size factored into required flash space?Is the design passively or actively safe? For example, if an MCU or FPGA is being programmed, held in reset, or in their POR, does the circuit enter a safe / idle / known state? Is the circuit only hot under control (can it be)?
  26. * Can you detect all relevant environmental changes? If changes in environment indicate a change in operating state or mode, can you detect them? (e.g. VBUS high on a self-powered USB device, SD card in socket, a particular connector plugged in)
  27. * FETs have pull-ups / -downs?
  28. * BJTs properly biased for both levels and current?
  29. * Chip selects have pull-ups / -downs?
  30. * Pull-up / -down resistors appropriate value for expected environment/noise?
  31. * Enable pins checked for polarity. Not all datasheets indicate this well, pin description text checked? Part number verified for ICs with orderable polarity configurations?
  32. * Shutdown pins checked for polarity?
  33. * Enable and shutdown pins pulled to the correct level when not under control? (e.g. shut down unless controlled)
  34. * Address select pins properly tied / pulled to the correct level?
  35. * Pull-ups on open-drain, open-collector nets? Values checked for required slew rates / throughput / noise?
  36. * Input / output pairs matched for all single-ended transceivers? (e.g. RX->TX, TX->RX for UART)
  37. * Differential pairs polarity checked? (e.g. H/L for CAN, D+/D- for USB)
  38. * Passive / buffered visual indicators (e.g. LEDs) at appropriate level for the idle level of the protocol? (e.g. UART idle high, SPI clock idle low, etc)
  39. * High-speed lines have appropriate series termination?
  40. * Crystals have load capacitors if required? Stray capacitance of PCB trace factored in?
  41. * Trimming potentiometers and capacitors increase their value when turned clockwise?
  42. * Exposed pads on no-leads packages tied to correct net? Needs to be left floating?
  43. * FPGAs have built-in configuration flash or have external flash available if not?
  44. * MCUs have built-in program flash or have external flash available if not?
  45. * MCUs have enough flash for debugging routines and unoptimized code on prototypes?
  46. * Bootloader size factored into required flash space?
  47.  
  48. ## In-Circuit Programming
  49. * Programming pins broken out?
  50. * Reset pins broken out?
  51. * Polarity / duration of reset nets checked? (e.g. must float during power-on, reset high? must be held for more than 100 µs?)
  52. * Pull-ups / -downs on reset nets?
  53. * Low-pass filters on reset nets in noisy environments or on external connectors? Discharge diode to bring filter low during power transients? Discharge diode on the output side of the filter?
  54. * FPGA external flash signals broken out and/or wired up to MCU?
  55. * If programming lines are shared, no drivers exist on line? (e.g. a receiver's push-pull output) Programming waveforms safe for downstream circuit?
  56.  
  57.  
  58. ## Watchdogs
  59. * Watchdog can be disabled during programming / debug?
  60. * If watchdog enabled / disabled via a jumper, configured so jumped enters programming / debug mode? (Jumper can be omitted?)
  61. * Watchdog output resets all peripherals that require a specific startup state?
  62. * Watchdog output tied to any write-protect pins if appropriate?
  63.  
  64.  
  65. ## USB
  66. * Serial termination resistors required or on-chip?
  67. * External pull-up/-down resistors required?
  68. * Can current be limited when the device is put in suspend mode?
  69. * Self-powered device does not drive VBUS?
  70. * Self-powered device pulls D+/D- only when VBUS is detected?
  71.  
  72.  
  73. ## Power
  74. * Power pins tied to correct voltage rail?
  75. * Dropout voltage of regulators checked against complete range / tolerance of source supply? Not just nominal/ideal rating.
  76. * Minimum load met for stability of all regulators?
  77. * Final circuit maximum load rechecked against regulator ratings?
  78. * Digital ICs have correct number and value of bypass capacitors for frequencies in use?
  79. * Bypass and output capacitor values checked for all regulators? Correctly derated? Appropriate ESR for stability?
  80. * Analog rails properly decoupled with ferrites / inductors / capacitors?
  81. * Circuit held idle / safe / in reset until voltages are stable? Voltage supervisor IC required (used to hold in reset or signal power-good)?
  82. * Power rails have short-circuit / over-current protection at output connectors?
  83. * Power rails have appropriate current limiting?
  84. * Over-current protection checked for time-until-trip? Crowbar required? Load-switch required?
  85. * Over-current protection recovers automatically?
  86. * Power input rails need reverse-polarity protection? Appropriate type for allowable voltage drop? and current? If using a P-channel FET, Rds(on) and Vgs checked? Vgs clamped if less than input voltage?
  87. * Total bulk capacitance on any power rail warrant inrush limiting?
  88.  
  89.  
  90. ##Battery Chemistry
  91. * Average and peak currents checked? Efficiency and lifespan vary for different chemistries and peak current combinations.
  92. * Parallel cells require balancing? Internal resistance considered? (e.g. parallel coin cells effectively drain each other)
  93.  
  94.  
  95. ##Sensors / Signal Conditioning
  96. * Temperature sensor exist if other sensors require temperature-compensation / calibration?
  97. * Source impedance of sensor checked? In-amp required?
  98. * Dividers and filters buffered with op-amp before ADC?
  99. * Op-amp stability checked given load capacitance on output?
  100. * Unused op-amps in dual or quad packages terminated?
  101.  
  102.  
  103. ## Connectors
  104. * Power and ground lines appropriately filtered at connector? Ferrites, etc.
  105. * Shield on shielded cable / connector appropriately decoupled and tied to ground?
  106. * Two boards with separate power supplies that share a data interface, share data ground? (e.g. RS-485)
  107. * If "large" ground potential differences are possible, current limited with low-value resistor?
  108. * If "very large" ground potential differences are possible, data grounds are floating with a single reference? Have fully isolated supplies?
  109. * Power and its ground on same connector?
  110. * Power connectors different size / type than IO connectors?
  111. * Related inputs / outputs on same connector?
  112.  
  113. # PCB Layout
  114.  
  115. ## General
  116. * Set up the DRC before you start.
  117. * Double check the drill vs annular ring size for vias?
  118. * Fiducials required? Present?
  119. * High-speed differential pairs not routed over broken ground plane?
  120. * Passives have traces coming into pads symmetrically? Traces comming into opposing "sides" of pads can cause solder migration, part rotation, tombstoning, or stresses when the board cools.
  121. * Margin to edge of board checked? Appropriate given board break-away (V-score or mouse bites)? Mouse bites can easily pull/crack solder mask at 20 mil in.
  122. * Components can be reworked without removing others?
  123. * Thermal reliefs for all pads of serviceable components?
  124. * Does each component's zeroed orientation match that of the orientation in the reel? Or placement/insertion rotation offset checked?
  125.  
  126.  
  127. ## Connectors
  128. * TVS close to connector it is protecting circuit from?
  129. * Programming header has clearance for IDC connector mating half? They are much wider than the header itself.
  130. * Maximum cable bend (minimum radius) checked against important clearances?
  131. * Inter-board connector locations checked against enclosure?
  132. * Drivers near connectors they are driving signals on?
  133. * Staggered power / signal connectors required? Double check if signals can be connected before power?
  134.  
  135. ## Mechanical
  136. * Drill holes checked? Correct hole spacing to mounting hardware (space between fastener and hole edge)?
  137. * Drill hole plating checked?
  138. * Adequate clearance around mounting holes for both the mounting hardware and the tool that fastens it?
  139.  
  140. ## Soldermask
  141. * Soldermask relief set appropriately given expected soldermask expansion?
  142. * Soldermask minimum spoke size met?
  143. * Soldermask relief around fiducials?
  144. * Soldermask removed for all pads?
  145.  
  146. ## Paste
  147. * Paste mask correctly sized and segmented on exposed DFN/QFN pads?
  148. * Paste mask correctly scaled for stencil type and thickness being used?
  149.  
  150. ## Programming and Test
  151. * Don't forget to design two boards...the test jig too!
  152. * Test points on all important clock and data pins?
  153. * More test points?
  154. * Ok cool, but maybe some more test points?
  155. * Ground reference test points near all high speed signals that might need to be probed?
  156. * Test points on 100-mil grid if possible? (ease of test jig creation)
  157. * Unused MCU pins broken out to header? (for debugging, setting test modes, etc)
  158. * Programming / test jig can provide power to board? Can be powered from board?
  159.  
  160. ## Radio
  161. * PCB antenna location checked against enclosure? E.g. Is there a cable gland or other structure placing more material over antenna?
  162. * PCB antenna has strong ground reference? Most ISM band antenna designs are aperture antennas.
  163. * RF tuning network easily reworkable?
  164. * RF shielding can dimensions checked?
  165.  
  166. ## Sensing
  167. * Analog sensors close to their ADC or buffered soon?
  168. * ADCs on or toward digital part of layout? (ADCs use fast oscillators)
  169. * Feedback dividers accidentally bypassed with capacitor placement?
  170.  
  171. ## Power
  172. * Traces have sufficient width for current and allowable temperature rise?
  173. * Sufficient trace spacing for voltage?
  174. * Highest ground currents closest to supply return?
  175. * Via size and count appropriate for current?
  176. * Thermal vias for thermal pads?
  177. * Tenting set appropriately for thermal vias?
  178. * Thermal reliefs disabled for power component pads?
  179. * Separate supply rail traces to multiple regulators?
  180.  
  181. ## Bypassing
  182. (TODO: Section needs work)
  183. * Appropriate number and size of vias for current?
  184. * Current / impedance matched? Equal trace widths and via counts?
  185.  
  186. ## SMPS
  187. * Inductors sharing same axis? Can become transformers.
  188. * Power and digital grounds separate (in terms of ground loops)?
  189. * All power components on same side?
  190. * Current loop area minimized? Stray inductances minimized?
  191. * FETs and inductors close?
  192. * Switch node ringing requires dampening?
  193. * Electrolytics will remain cool? Electrolytics on "bottom" of convection volume?
  194. * Output/bulk capacitor terminals tied as close as possible to low-side FET?
  195. * Gate drive signals short and thick?
  196. * Feedback trace thick and clear of other noisy signals? (gates and boost nodes)
  197. * Minimum voltage ripple required by controller met?
  198. * Control circuitry away from the noisy end of the switch node? (Buck: Vin side, Boost: Vout side)
  199.  
  200. ## Legend / Silkscreen
  201. * Check fab silkscreen DPI? Can legend be printed clearly?
  202. * Ticks every 10 or 25 pins for high-ish pin count ICs?
  203. * Pin-1 indicator visible after component is placed on board?
  204. * Power pins labeled with polarity and voltage ranges?
  205. * Connector pinouts printed on both sides of board and mirrored? (if two-sided silkscreen)
  206. * Part number, revision number, date code present?
  207. * Serial number blank window required?
  208. * Legend references all facing one or two directions (not four)?
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement