Advertisement
Guest User

Untitled

a guest
May 22nd, 2018
57
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.47 KB | None | 0 0
  1. entity FDN is
  2. generic (n: natural := 32);
  3. port (
  4. D : in std_logic_vector(n-1 downto 0 );
  5. Clk: in std_logic;
  6. Rst: in std_logic;
  7. Ce: in std_logic;
  8. Q : out std_logic_vector(n-1 downto 0 )
  9. );
  10. end FDN;
  11.  
  12. architecture Behavioral of FDN is
  13.  
  14. begin
  15.  
  16. process(Clk)
  17. begin
  18. if(rising_edge(Clk)) then
  19. if(Rst='1') then
  20. Q<= (others => '0');
  21. else
  22. if( Ce='1') then
  23. Q<=D;
  24. end if;
  25. end if;
  26. end if;
  27. end process;
  28. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement