Advertisement
Guest User

Untitled

a guest
Jun 18th, 2017
63
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2.  
  3. module DZ_test;
  4.  
  5. logic clk, sclr;
  6. reg [2:0] q;
  7.  
  8. initial
  9. begin
  10.     clk=0;
  11.     forever #10 clk = ~clk;
  12. end
  13. initial
  14. begin
  15.     sclr = 1;
  16.     #50 sclr = ~sclr;
  17.     #60 sclr = ~sclr;
  18.     #70 sclr = ~sclr;
  19.     #80 sclr = ~sclr;
  20.     #100 sclr = ~sclr;
  21.     #300 $stop;
  22. end
  23. DZ uut_inst(clk, sclr, q);
  24. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement