Advertisement
TwentyEight

LIF pseudocode

Jun 4th, 2022
48
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.23 KB | None | 0 0
  1. neuromatch
  2. time loop
  3. check if in refractory
  4. check if voltage above threshold
  5. if so neuron spike, reset voltage, activate refractory period
  6. dv = (-(v[it] - E_L) + Iinj[it] / g_L) * (dt / tau_m)
  7. update membrane potential v[it+1]
  8.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement