Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- module test;
- reg clk;
- wire out;
- initial begin
- $display("newjam wave decoder");
- clk = 0;
- //$monitor("%s", out);
- end
- // monitor the output of grom
- always @* begin
- $display("%d", out);
- end
- // every 100 ticks tock the clock
- always #100 clk = !clk;
- // run grom using our clock
- grom grom1(clk, out);
- endmodule
Add Comment
Please, Sign In to add comment