Advertisement
Guest User

Untitled

a guest
Oct 23rd, 2019
94
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.19 KB | None | 0 0
  1. module clock_gen(clk);
  2. output reg clk;
  3.  
  4.  
  5. initial
  6. begin
  7. clk=0;
  8. end
  9.  
  10.  
  11. always
  12. begin
  13. #5
  14. clk = ~clk;
  15. end
  16. endmodule
  17.  
  18. module clk_tb();
  19.  
  20. wire signal;
  21.  
  22. clock_gen test(clk);
  23.  
  24. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement